JP2008536305A - フォトレジストマスクを用いたエッチング - Google Patents

フォトレジストマスクを用いたエッチング Download PDF

Info

Publication number
JP2008536305A
JP2008536305A JP2008504073A JP2008504073A JP2008536305A JP 2008536305 A JP2008536305 A JP 2008536305A JP 2008504073 A JP2008504073 A JP 2008504073A JP 2008504073 A JP2008504073 A JP 2008504073A JP 2008536305 A JP2008536305 A JP 2008536305A
Authority
JP
Japan
Prior art keywords
gas
dielectric layer
plasma
processing chamber
photoresist mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008504073A
Other languages
English (en)
Inventor
キム・ジスー
リー・サンゲオン
ウォーシャム・ビネ・エー.
チャラタン・ロバート
サジャディ・エス.エム.・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008536305A publication Critical patent/JP2008536305A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】
【解決手段】基板の上の誘電体層をエッチングするための方法が提供されている。フォトレジストマスクが、誘電体層の上に形成される。基板は、プラズマ処理チャンバ内に配置される。NF3を含むエッチャントガスが、プラズマチャンバに供給される。NF3ガスから、プラズマが生成される。誘電体層は、NF3ガスから生成されたプラズマによってフォトレジストマスクを通してエッチングされる。
【選択図】図1

Description

本発明は、半導体素子の製造におけるフォトレジストマスクの利用に関し、特に、半導体素子の製造中にフォトレジストマスクを通して誘電体層をエッチングすることに関する。
半導体ウエハの処理では、周知のパターニング処理およびエッチング処理を用いて、ウエハ内に半導体素子の形状が設けられる。これらの処理では、フォトレジスト(PR)材料が、ウエハに蒸着され、次いで、レチクルによってフィルタリングされた光に露出されてよい。レチクルは、光がレチクルを通して伝搬することを防ぐ幾何形状を有するようパターニングされた透明な板であってよい。
レチクルを通過した後、光は、フォトレジスト材料の表面に当たる。光は、現像剤がフォトレジスト材料の一部を除去できるように、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合には、露光された領域が除去され、ネガ型フォトレジスト材料の場合には、露光されていない領域が除去される。その後、ウエハをエッチングして、もはやフォトレジスト材料によって保護されていない領域から、下層の材料を除去することにより、ウエハ内に所望の形状を形成する。
密度を増大させるには、形状のサイズが低減される。これは、形状の限界寸法(CD)を低減することにより実現されてよく、そのために、フォトレジストの解像度を改善することが必要になる。フォトレジストの解像度を改善する方法の1つとして、フォトレジストマスクを薄くする方法がある。
フォトレジストにおいて小さいCDサイズを実現するために、新しいフォトレジスト材料(193および157nm用のPR)が追求されているが、これらのレジストは、DUVおよび248nm用のフォトレジストなどの従来のマスクよりも、プラズマからの損傷に対する耐性が低い。また、現在の単一層の方法では、形状の解像度に見合うように、ますます薄いレジストを用いる必要がある。そうすると、誘電体のエッチングに対して十分なレジストを提供することができないため、ストリエーション、配線の縁の荒さ、配線の波打ちなど、他の問題が生じうる。縮小し続ける形状の寸法に対応するために、この業界は、いくつかの処理工程を含む多層による方法など、新しい技術を研究している。新しい技術に切り替える場合には、当然、費用や時間が掛かる。
集積回路の結合容量のレベルを低減するために、半導体業界は、SiO2よりも低い誘電率を有する材料、すなわち、集積回路における誘電体層形成での利用に適した材料を開発するための研究に従事してきた。有望な材料が、数多く開発されてきた。なお、それらの材料は、「低誘電率材料」と呼ばれることがある。本明細書および請求項では、低誘電率材料を、4未満の誘電率を有する材料として定義する。フッ化ケイ酸塩ガラスは、低誘電率材料の一例であり、約3.7の誘電率を有する。これは、約7ないし9%のフッ素をSiO2に添加した組成を有する。
現在、いくつかの種類の低誘電率材料が開発され、半導体業界で利用されている。すなわち、フッ化ケイ酸塩ガラス(FSG)、水素シルセスキオキサン(HSQ)、スピンオン有機材料(Dow社のSiLK(商標)は、2.65の誘電率が報告されている非フッ化高芳香族系有機スピンオンポリマである)、および、有機ケイ酸塩ガラスのように化学蒸着(CVD)で蒸着された無機系、である。例えば、限定はしないが、かかる有機ケイ酸塩誘電体としては、カリフォルニア州サンノゼのNovellus社のCORAL(商標)、カリフォルニア州サンタクララのApplied Materials社のBlack Diamond(商標)、オランダのASM International社のAurora(商標)、カリフォルニア州サンタクララのSumitomo Chemical America,IncのSumika Film(登録商標)、および、ニュージャージー州モリスタウンのAllied Signal社のHOSP(商標)が挙げられる。有機ケイ酸塩ガラス材料は、二酸化ケイ素の格子内に炭素および水素の原子が組み込まれていることにより、材料の密度が下がるため誘電率が低くなっている。かかる膜の誘電率は、通例、3.0未満である。
上述の課題を解決するために、本発明の目的に従って、基板の上の誘電体層をエッチングするための方法が提供されている。フォトレジストマスクが、誘電体層の上に形成される。基板は、プラズマ処理チャンバ内に配置される。NF3を含むエッチャントガスが、プラズマチャンバに供給される。NF3ガスから、プラズマが生成される。誘電体層は、NF3ガスから生成されたプラズマによってフォトレジストマスクを通してエッチングされる。
別の態様において、基板の上の誘電体層をエッチングするための方法が提供されている。400nm以下の厚さのフォトレジストマスクが、誘電体層の上に形成される。基板は、プラズマ処理チャンバ内に配置される。本質的にNF3と希釈剤からなるエッチャントガスが、プラズマチャンバ内に供給される。NF3ガスから、プラズマが生成される。誘電体層は、NF3ガスから生成されたプラズマによってフォトレジストマスクを通してエッチングされる。
別の態様では、基板によって支持されると共にフォトレジストマスクによって覆われたエッチング層に形状を形成するための装置が提供されている。プラズマ処理チャンバが準備される。プラズマ処理チャンバは、プラズマ処理チャンバ容器を形成するチャンバ壁と、プラズマ処理チャンバ容器内で基板を支持するための基板支持と、プラズマ処理チャンバ容器内の圧力を調整するための圧力調整装置と、プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、プラズマ処理チャンバ容器にガスを供給するためのガス流入口と、プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、を備える。NF3源を備えたガス源が、ガス流入口と流体接続されている。制御部が、ガス源と少なくとも1つの電極とに制御可能に接続されており、少なくとも1つのプロセッサとコンピュータ読み取り可能な媒体とを備えている。コンピュータ読み取り可能な媒体は、NF3源からNF3ガスを前記プラズマ処理チャンバに供給するためのコンピュータ読み取り可能なコードと、NF3ガスからプラズマを生成するためのコンピュータ読み取り可能なコードと、NF3ガスからのプラズマによってエッチング層のエッチングを引き起こすようなプラズマ条件を与えるためのコンピュータ読み取り可能なコードと、を備える。
添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
以下では、添付図面に例示されたいくつかの好ましい実施形態を参照して、本発明の詳しい説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。そのほか、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
理解しやすいように、本発明の一実施形態で利用される処理を示す概略フローチャートを図1に示す。誘電体層を備えた基板が準備される(工程104)。フォトレジストマスクが、誘電体層の上に形成される(工程108)。基板がプラズマチャンバ内に配置される(工程112)。NF3を含むエッチャントガスが、プラズマチャンバに供給される(工程116)。エッチングガスから、プラズマが生成される(工程120)。エッチャントガスから生成されたプラズマを用いて、誘電体層がエッチングされる(工程124)。次いで、残ったフォトレジストマスクが除去される(工程128)。
実施例
本発明を容易に理解できるように、工程104で準備された誘電体層220を備える基板210の断面図を図2Aに示した。本発明の好ましい実施形態の一例では、基板210は、シリコンウエハであり、誘電体層220は、有機ケイ酸塩ガラス、すなわち、酸化ケイ素系の低誘電率材料である。好ましい実施形態では、誘電体層220の上に、ARC層が配置される。ARC層は、下部反射防止膜(BARC)224であることが好ましい。フォトレジストマスク228が、誘電体層220の上に形成される(工程108)。フォトレジストマスク228は、193nm用のフォトレジストであることが好ましい。マスクは、400nm以下の厚さを有することが好ましい。ビアのパターニングでは、約400nmのマスク厚を用いる。トレンチのパターニングでは、約200nmのマスク厚を用いる。マスクは、200nm以下の厚さを有することが、さらに好ましい。基板210は、プラズマ処理チャンバ内に配置される(工程112)。
図3は、本発明のエッチングに利用できるプラズマ処理チャンバ300を示す説明図である。プラズマ処理チャンバ300は、閉じ込めリング302と、上側電極304と、下側電極308と、ガス源310と、排気ポンプ320とを備える。プラズマ処理チャンバ300内で、基板210は、下側電極308の上に配置される。下側電極308は、基板210を保持するのに適切な基板保持機構(例えば、静電チャック、機械的クランプなど)を備える。リアクタ上部328は、下側電極308のちょうど反対側に配置された上側電極304を備える。上側電極304、下側電極308、および、閉じ込めリング302は、閉じ込めプラズマ容積を規定する。ガスは、ガス源310によって、閉じ込めプラズマ容積に供給され、排気ポンプ320によって、閉じ込めリング302および排気口を通って、閉じ込めプラズマ容積から排気される。この実施形態では、ガス源310は、NF3源312と、希釈剤源316と、剥離ガス源318とを備える。ガス源310は、さらに、他のガス源を備えてもよい。下側電極308には、RF電源348が、電気的に接続されている。チャンバ壁352が、閉じ込めリング302、上側電極304、および、下側電極308を取り囲んでいる。他の組み合わせで電極にRF電源を接続してもよい。本発明の好ましい実施形態では、27MHzおよび2MHzの電源が、下側電極に接続されるRF電源348を構成しており、上側電極は、接地されている。RF電源348、排気ポンプ320、および、ガス源310には、制御部335が、制御可能に接続されている。
図4Aおよび図4Bは、本発明の実施形態で用いられる制御部335の実施に適したコンピュータシステム800を示す図である。図4Aは、コンピュータシステムの物理的形状の一例を示す。もちろん、コンピュータシステムは、集積回路、プリント基板、および、小型携帯デバイスから大型スーパコンピュータまで、多くの物理的形状を有してよい。コンピュータシステム800は、モニタ802と、ディスプレイ804と、筐体806と、ディスクドライブ808と、キーボード810と、マウス812とを備える。ディスク814は、コンピュータシステム800とデータをやり取りするために用いられるコンピュータ読み取り可能な媒体である。
図4Bは、コンピュータシステム800のブロック図の一例である。システムバス820には、様々なサブシステムが取り付けられている。1または複数のプロセッサ822(中央処理装置すなわちCPUとも呼ぶ)が、メモリ824などの記憶装置に接続されている。メモリ824は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当技術分野で周知のように、ROMは、CPUに対して単方向的にデータや命令を転送するよう機能し、RAMは、通例、双方向的にデータや命令を転送するために用いられる。これらの種類のメモリは両方とも、後に示す任意の適切なコンピュータ読み取り可能媒体を備えてよい。CPU822には、さらに、固定ディスク826が、双方向的に接続されており、さらなるデータ記憶容量を提供している。固定ディスク826は、後に示すコンピュータ読み取り可能媒体のいずれを備えてもよい。固定ディスク826は、プログラムやデータなどを格納するために用いられてよく、通例は、一次記憶装置よりも遅い二次記憶媒体(ハードディスクなど)である。固定ディスク826内に保持された情報は、必要に応じて、メモリ824内の仮想メモリとして標準的な方法で組み込まれてよいことを理解されたい。リムーバブルディスク814は、後に示すコンピュータ読み取り可能媒体の形態を取ってよい。
CPU822は、さらに、ディスプレイ804、キーボード810、マウス812、および、スピーカ830など、様々な入力/出力装置に接続されている。一般に、入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチセンサ式ディスプレイ、トランスデューサ式カードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識装置、バイオメトリクスリーダ、または、他のコンピュータ、のいずれであってもよい。CPU822は、必要に応じて、ネットワークインターフェース840を用いて、他のコンピュータや電気通信ネットワークに接続されてもよい。かかるネットワークインターフェースを用いて、CPUは、上述の方法の工程を実行する途中で、ネットワークから情報を受信、または、ネットワークに情報を出力してよい。さらに、本発明の方法の実施形態は、CPU822単体で実行されてもよいし、インターネットなどのネットワークを介して、処理の一部を分担する遠隔CPUと協働で実行されてもよい。
さらに、本発明の実施形態は、様々なコンピュータによる動作を実行するためのコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明のために、特別に設計および構成されてもよいし、コンピュータソフトウェア分野における当業者にとって周知および利用可能なものであってもよい。コンピュータ読み取り可能な媒体の例としては、ハードディスク、フレキシブルディスク、磁気テープなどの磁気媒体;CD−ROM、ホログラフィック素子などの光学媒体;フロプティカルディスクなどの光磁気媒体;特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、ROMおよびRAMなど、プログラムコードを格納および実行するよう特別に構成されたハードウェア装置、が挙げられるが、それらに限定されない。コンピュータコードの例としては、コンパイラによって生成されたコードなどのマシンコードや、インタープリタを用いてコンピュータによって実行される高級言語コードを含むファイルが挙げられる。コンピュータ読み取り可能な媒体は、搬送波で具現化されたコンピュータデータ信号によって転送されると共にプロセッサが実行可能な一連の命令を表すコンピュータコードであってもよい。
NF3を含むエッチャントガスが、プラズマチャンバ300に供給される(工程116)。一例では、エッチャントガスは、NF3と、Arなどの不活性ガスとを含む。例えば、エッチャントガスは、60sccmのNF3と、100sccmのArとを含んでよい。この例のように、エッチャントガスは、フッ化炭素およびフッ化炭化水素を含まないことが好ましい。
エッチングガスから、プラズマが生成される(工程120)。この例では、プラズマチャンバ内の圧力は、120mTorrに設定されている。RF電源は、27MHzで500ワットの電力と、2MHzで100ワットの電力とを供給する。エッチャントガスから生成されたプラズマを用いて、図2Bに示したように、誘電体層220に形状がエッチングされる(工程124)。基板がプラズマ処理チャンバ内に配置された状態で、アッシング処理を用いて、残ったフォトレジストマスクを除去してよい(工程128)。
本発明は、様々な誘電材料のエッチングに用いられてよい。本発明は、酸化ケイ素や有機ケイ酸塩ガラスなど、酸化ケイ素系の誘電材料のエッチングに用いられてよい。本発明の別の態様では、本発明は、有機物系または酸化ケイ素系の低誘電体のエッチングに用いられてよい。本発明を用いてエッチング可能な有機物系の低誘電体の例としては、SiLKおよび有機ケイ酸塩ガラスが挙げられる。
本発明は、193nm以上用のフォトレジストを用いることが好ましい。かかるフォトレジストは、炭素対水素の比(C/H比)が低く、エッチング耐性が低い傾向がある。
フォトレジストの選択性は、誘電体層のエッチング速度をフォトレジストのエッチング速度で割った値として定義される。一般に、フォトレジストの選択性は、フォトレジストのエッチング速度を下げることによって増大できる。本発明のNF3エッチングは、フォトレジストのエッチング速度を増大させると考えられるが、フォトレジストのエッチング速度の増大よりも大きく誘電体のエッチング速度を増大させることにより、フォトレジストの選択性を増大させることができる。
従来のエッチングは、エッチングの前もしくは間に、フォトレジスト上に炭素またはポリマを蒸着させることによって、フォトレジストのエッチング速度を下げる。かかる処理は、パターン間のマイクロローディングを引き起こす。かかるマイクロローディングにより、形状の間隔が狭い領域と、形状の間隔が広い領域との間で、エッチングが不均一になる。
炭化水素、フッ化炭素、および、フッ化炭化水素のいずれの成分も含まないNF3エッチャントのみを用いる本発明の処理は、炭素またはポリマを蒸着しないため、マイクロローディングを引き起こさない。
さらに、本発明の処理は、フォトレジストの波打ちを低減または排除することができる。フォトレジストの波打ちは、フォトレジストマスク材料の歪みまたは屈曲によって引き起こされる。屈曲または歪んだフォトレジストマスクは、不規則な形状の原因となる。このようなフォトレジストマスク材料の歪みまたは屈曲は、フォトレジストエッチング速度を低減するためにフォトレジスト上に配置された保護層すなわちフッ化炭化水素ポリマによって引き起こされると考えられる。かかる炭素またはポリマの保護層は、フォトレジストマスクに対して力を掛けるため、フォトレジストマスクが屈曲したり歪んだりする。
本発明の処理は、配線の縁の荒さ、および、ストリエーションを低減するために用いられてもよい。一般に、配線の縁の荒さ、および、ストリエーションは、エッチング処理中の不均一なポリマ蒸着によって引き起こされるからである。
以上、いくつかの好ましい実施形態を参照しつつ本発明について説明したが、本発明の範囲内で、様々な代替物、置換物、変形物、および、等価物が存在する。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、および等価物の全てを網羅するものとして解釈される。
本発明の一実施形態を示すフローチャート。 本発明の一実施形態においてエッチングされる層を示す説明図。 本発明の一実施形態においてエッチングされる層を示す説明図。 エッチングに利用できるプラズマ処理チャンバを示す説明図。 本発明の実施形態で用いられる制御部の実施に適したコンピュータシステムを示す図。 本発明の実施形態で用いられる制御部の実施に適したコンピュータシステムを示す図。

Claims (14)

  1. 基板の上の誘電体層をエッチングするための方法であって、
    前記誘電体層の上にフォトレジストマスクを形成する工程と、
    プラズマ処理チャンバ内に前記基板を配置する工程と、
    NF3を含むエッチャントガスを前記プラズマチャンバ内に供給する工程と、
    前記NF3ガスからプラズマを生成する工程と、
    前記NF3ガスから生成された前記プラズマによって前記フォトレジストマスクを通して前記誘電体層をエッチングする工程と、を備える、方法。
  2. 請求項1に記載の方法であって、前記エッチャントガスは、本質的に、フッ化炭素およびフッ化炭化水素を含まない、方法。
  3. 請求項1ないし2のいずれかに記載の方法であって、前記誘電体層は、低誘電体層である、方法。
  4. 請求項1ないし3のいずれかに記載の方法であって、前記誘電体層は、酸化ケイ素系の誘電体層である、方法。
  5. 請求項4に記載の方法であって、前記酸化ケイ素系の誘電体層は、有機ケイ酸塩ガラスである、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、前記フォトレジストマスクを形成する工程は、400nm以下の厚さの前記フォトレジストマスクを形成する、方法。
  7. 請求項1ないし5のいずれかに記載の方法であって、前記フォトレジストマスクを形成する工程は、200nm以下の厚さの前記フォトレジストマスクを形成する、方法。
  8. 請求項1ないし7のいずれかに記載の方法であって、前記エッチャントガスは、本質的にNF3と不活性希釈剤からなる、方法。
  9. 請求項1ないし8のいずれかに記載の方法によって製造された半導体素子。
  10. 基板の上の誘電体層をエッチングするための方法であって、
    前記誘電体層の上に、400nm以下の厚さのフォトレジストマスクを形成する工程と、
    プラズマ処理チャンバ内に前記基板を配置する工程と、
    本質的にNF3と希釈剤からなるエッチャントガスを前記プラズマチャンバ内に供給する工程と、
    前記NF3ガスからプラズマを生成する工程と、
    前記NF3ガスから生成された前記プラズマによって前記フォトレジストマスクを通して前記誘電体層をエッチングする工程と、を備える、方法。
  11. 請求項10に記載の方法であって、前記誘電体層は、低誘電体層である、方法。
  12. 請求項10ないし11のいずれかに記載の方法であって、前記誘電体層は、酸化ケイ素系の誘電体層である、方法。
  13. 請求項10に記載の方法であって、前記誘電体層は、有機ケイ酸塩ガラスである、方法。
  14. 基板によって支持されると共にフォトレジストマスクによって覆われたエッチング層に形状を形成するための装置であって、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁と、
    前記プラズマ処理チャンバ容器内で基板を支持するための基板支持と、
    前記プラズマ処理チャンバ容器内の圧力を調整するための圧力調整手段と、
    前記プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、
    前記プラズマ処理チャンバ容器にガスを供給するためのガス流入口と、
    前記プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、を備える、プラズマ処理チャンバと、
    前記ガス流入口と流体接続されたNF3源を備えるガス源と、
    前記ガス源と、前記少なくとも1つの電極とに、制御可能に接続された制御部であって、
    少なくとも1つのプロセッサと、
    コンピュータ読み取り可能な媒体と、を備える、制御部と、
    を備え、
    前記コンピュータ読み取り可能な媒体は、
    前記NF3源からNF3ガスを前記プラズマ処理チャンバに供給するためのコンピュータ読み取り可能なコードと、
    前記NF3ガスからプラズマを生成するためのコンピュータ読み取り可能なコードと、
    前記NF3ガスからの前記プラズマによって前記エッチング層のエッチングを引き起こすようなプラズマ条件を与えるためのコンピュータ読み取り可能なコードと、を備える、装置。
JP2008504073A 2005-03-29 2006-03-07 フォトレジストマスクを用いたエッチング Pending JP2008536305A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/094,559 US7442649B2 (en) 2005-03-29 2005-03-29 Etch with photoresist mask
PCT/US2006/008306 WO2006104655A2 (en) 2005-03-29 2006-03-07 Etch with photoresist mask

Publications (1)

Publication Number Publication Date
JP2008536305A true JP2008536305A (ja) 2008-09-04

Family

ID=36917335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008504073A Pending JP2008536305A (ja) 2005-03-29 2006-03-07 フォトレジストマスクを用いたエッチング

Country Status (6)

Country Link
US (1) US7442649B2 (ja)
JP (1) JP2008536305A (ja)
KR (1) KR101252878B1 (ja)
CN (1) CN101151719A (ja)
TW (1) TWI393997B (ja)
WO (1) WO2006104655A2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8887026B2 (en) * 2009-04-14 2014-11-11 Ben Gurion University Of The Negev Efficient detection of errors in associative memory
US8871650B2 (en) * 2011-10-28 2014-10-28 Applied Materials, Inc. Post etch treatment (PET) of a low-K dielectric film
US20140127901A1 (en) * 2012-11-08 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k damage free integration scheme for copper interconnects
KR20140000076A (ko) * 2012-06-22 2014-01-02 삼성전기주식회사 터치패널
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0360121A (ja) * 1989-07-28 1991-03-15 Sony Corp ドライエッチング方法
JP2001237228A (ja) * 2000-02-24 2001-08-31 Canon Sales Co Inc 基板処理方法および基板処理装置ならびにデバイス製造方法
WO2003107410A2 (en) * 2002-06-14 2003-12-24 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP2005072518A (ja) * 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4522681A (en) * 1984-04-23 1985-06-11 General Electric Company Method for tapered dry etching
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
GB2219434A (en) * 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6001538A (en) 1998-04-06 1999-12-14 Taiwan Semiconductor Manufacturing Company Ltd. Damage free passivation layer etching process
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6451512B1 (en) * 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US20040063308A1 (en) * 2002-09-27 2004-04-01 Taiwan Semiconductor Manufacturing Company Method for forming openings in low-k dielectric layers
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0360121A (ja) * 1989-07-28 1991-03-15 Sony Corp ドライエッチング方法
JP2001237228A (ja) * 2000-02-24 2001-08-31 Canon Sales Co Inc 基板処理方法および基板処理装置ならびにデバイス製造方法
WO2003107410A2 (en) * 2002-06-14 2003-12-24 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP2005072518A (ja) * 2003-08-28 2005-03-17 Hitachi Ltd 半導体装置の製造方法およびその装置
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法

Also Published As

Publication number Publication date
TW200643611A (en) 2006-12-16
WO2006104655A3 (en) 2007-02-01
KR20080013874A (ko) 2008-02-13
TWI393997B (zh) 2013-04-21
CN101151719A (zh) 2008-03-26
US20060223327A1 (en) 2006-10-05
KR101252878B1 (ko) 2013-04-09
US7442649B2 (en) 2008-10-28
WO2006104655A2 (en) 2006-10-05

Similar Documents

Publication Publication Date Title
JP5086090B2 (ja) 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法及び装置
JP5165560B2 (ja) エッチング層に形状を形成するための方法
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
US7491647B2 (en) Etch with striation control
JP2008536305A (ja) フォトレジストマスクを用いたエッチング
KR101534883B1 (ko) 마스크 트리밍
US20120282780A9 (en) Etch with high etch rate resist mask
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
JP2013110437A (ja) ラインエッジ粗さを低減させた特徴のエッチング
TWI405265B (zh) 均勻控制的蝕刻
US20070181530A1 (en) Reducing line edge roughness
WO2009085597A2 (en) Cd bias loading control with arc layer open
JP2008507137A (ja) 低誘電体のエッチング
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist
KR20070102938A (ko) 피처 프로파일을 제어하기 위한 마스크 프로파일 제어

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110705

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110928

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120612

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121010

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121010

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121101

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20121228