KR101252878B1 - 포토레지스트 마스크를 이용한 에칭 - Google Patents

포토레지스트 마스크를 이용한 에칭 Download PDF

Info

Publication number
KR101252878B1
KR101252878B1 KR1020077025010A KR20077025010A KR101252878B1 KR 101252878 B1 KR101252878 B1 KR 101252878B1 KR 1020077025010 A KR1020077025010 A KR 1020077025010A KR 20077025010 A KR20077025010 A KR 20077025010A KR 101252878 B1 KR101252878 B1 KR 101252878B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
photoresist mask
dielectric layer
processing chamber
Prior art date
Application number
KR1020077025010A
Other languages
English (en)
Other versions
KR20080013874A (ko
Inventor
김지수
이상헌
비네 에이 워스햄
로버트 카라탄
에스 엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080013874A publication Critical patent/KR20080013874A/ko
Application granted granted Critical
Publication of KR101252878B1 publication Critical patent/KR101252878B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판 상부의 유전체층을 에칭하는 방법이 제공된다. 유전체층 상부에 포로레지스트 마스크가 형성된다. 기판은 플라즈마 프로세싱 챔버 내에 배치된다. 플라즈마 프로세싱 챔버 내부로 NF3 를 포함하는 에천트 가스가 제공된다. NF3 가스로부터 플라즈마가 형성된다. NF3 가스로부터의 플라즈마를 이용하여 포토레지스트 마스크를 통해 유전체층이 에칭된다.
유전체층, 포토레지스트 마스크, 플라즈마 프로세싱 챔버

Description

포토레지스트 마스크를 이용한 에칭{ETCH WITH PHOTORESIST MASK}
본 발명은 반도체 디바이스 제조에서의 포토레지스트 마스크 사용에 관한 것이다. 보다 상세하게 본 발명은 반도체 디바이스의 제작 동안 포토레지스트 마스크를 통한 유전체층의 에칭에 관한 것이다.
반도체 웨이퍼 공정 시, 반도체 디바이스의 피쳐들은 공지된 패터닝 공정 및 에칭 공정을 사용하여 웨이퍼에 정의된다. 이 공정들에서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착될 수 있고, 그 후 레티클에 의해 필터링된 광에 노광된다. 레티클은 투명판일 수 있는데, 이 투명판은 레티클을 통한 광 전파를 차단하는 전형적인 피쳐 형상으로 패터닝된 것이다.
레티클를 통과한 후, 광은 포토레지스트 재료의 표면과 접촉한다. 광은 현상액이 포토레지스트 재료의 일부를 제거할 수 있도록 포토레지스트 재료의 화학 조성을 변화시킨다. 양의 포토레지스트 재료의 경우, 노광된 영역이 제거되고, 음의 포토레지스트 재료의 경우 노광되지 않은 영역이 제거된다. 그 후, 웨이퍼가 에칭되어 포토레지스트 재료에 의해 더 이상 보호되지 않은 영역으로부터 하부의 재료를 제거함으로써, 웨이퍼에 원하는 피쳐를 제작한다.
증가된 밀도를 제공하기 위해, 피쳐 사이즈가 감소된다. 이는 피쳐들의 임계 치수 (CD) 를 감소시킴으로서 달성될 수 있으므로, 향상된 포토레지스트 해상도를 요구한다. 포토레지스트 해상도를 향상시키는 하나의 방식은 더 얇은 포토레지스트 마스크를 제공하는 것이다.
포토레지스트에서 작은 CD 크기를 제작하기 위해 새로운 포토레지스트 재료 (193 및 157㎚ PR) 가 추구되고 있지만, 이 레지스트들은 DUV 및 248㎚ 포토레지스트의 이전 마스크들보다 플라즈마 손상에 대한 내성이 적다. 또한, 현재의 단일층 어프로치에 의해, 점점 더 얇아지는 레지스트가 피쳐의 해상도를 맞추는데 사용되어야만 한다. 이는 유전체 에칭에 대한 충분한 레지스트를 제공할 수 없을 수도 있고 스트라이에이션 (striation), 라인 가장자리 거칠기 (roughness) 및 라인 위글링 (wiggling) 과 같은 다른 곤란한 상황들을 야기할 수도 있다. 피쳐 치수 감소에 대응하기 위해, 반도체 산업은 몇몇 공정 단계들을 포함하는 다층 어프로치와 같은 새로운 기술들을 연구하고 있다. 새로운 기술들로의 전환은 확실히 비용이 높고 시간소모적이게 된다.
집적 회로에서의 결합 캐패시턴스 레벨을 감소시키기 위한 노력에 있어서, 반도체 산업은 집적회로 내의 유전체층 형성에 사용하기에 적합한 재료인 SiO2의 유전 상수보다 유전 상수가 낮은 재료들을 개발하는 연구에 몰두하고 있다. 때때로 "저유전율 (low-k) 재료" 로서 칭하는 다수의 유망한 재료들이 개발되고 있다. 명세서 및 청구항에서, 저유전율 재료는 유전상수 (k) 가 4 보다 작은 재료로 정의된다. 플루오로실리케이트 글래스는 저유전율 유전체의 일 예로서, 유전상 수가 약 3.7 이다. 이것은 SiO2 내부로 약 7-9% 플루오르가 도핑된 것으로 이루어진다.
최근 개발되고 있고 반도체 산업에 사용하고 있는 몇 종류의 저유전율 재료로서, FSG (fluorinated silicon oxyfluoride), HSQ (hydrogen silsesquioxane), 스핀-온 유기 재료 (Dow's SiLKTM 은 플루오르화되지 않고, 고방향성이며, k 가 2.65 라고 개시된 유기 스핀-온 폴리머이다), 및 유기실리케이트 글래스와 같이 화학기상증착 (CVD) 에 의해 증착된 무기 계가 있다. 제한되는 것은 아니지만 예로서, 그러한 유기실리케이트 유전체는 캘리포니아 산호세 Novellus 의 CORALTM; 캘리포니아 산타 클라라 Applied Materials 의 Black DiamondTM; 네덜란드 ASM International N.V., 로부터 입수가능한 Aurora TM; 캘리포니아 산타 클라라 Sumitomo Chemical America, Inc., 로부터 입수가능한 Sumika Film
Figure 112007077611913-pct00001
및 뉴저지 Allied Signal of Morristown 의 HOSPTM을 포함한다. 유기실리케이트 글래스 재료는 밀도를 낮추고 재료의 유전상수를 낮추는 실리콘 이산화물 격자와 결합되는 탄소 및 수소 원자를 갖는다. 그러한 필름의 유전 상수는 통상적으로 3.0 보다 작다 (< 3.0).
상술한 것을 달성하기 위해 그리고 본 발명의 목적에 따라, 기판 상부의 유전체층을 에칭하는 방법이 제공된다. 유전체층 상부에 포토레지스트 마스크가 형성된다. 기판은 플라즈마 프로세싱 챔버에 배치된다. 플라즈마 프로세싱 챔버 내부로 NF3를 포함하는 에천트 가스가 제공된다. NF3 가스로부터 플라즈마가 형성된다. NF3 가스로부터의 플라즈마를 이용하여 포토레지스트 마스크를 통해 유전체층이 에칭된다.
다른 명시에서, 기판 상부의 유전체층을 에칭하는 방법이 제공된다. 유전체층 상부에 두께가 불과 400㎚ 인 포토레지스트 마스크가 형성된다. 기판은 플라즈마 프로세싱 챔버 내부에 배치된다. 플라즈마 챔버 내부로 본질적으로 NF3 및 희석제로 이루어진 에천트 가스가 제공된다. NF3 가스로부터 플라즈마가 형성된다. NF3 가스로부터의 플라즈마를 이용하여 포토레지스트 마스크를 통해 유전체층이 에칭된다.
또 다른 명시에서, 에칭층의 피쳐 형성 장치가 제공되는데, 에칭층은 기판에 의해 지지되고 포토레지스트 마스크에 의해 피복된다. 플라즈마 프로세싱 챔버가 제공된다. 플라즈마 프로세싱 챔버는, 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내부에서 기판을 지지하기 위한 기판 지지체, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조정하기 위한 압력 조정기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 공급하기 위한 하나 이상의 전극, 플라즈마 프로세싱 챔버 인클로저 내부로 가스를 공급하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 배출구를 포함한다. NF3 소스를 포함하는 가스 소스가 가스 유입구와 유체 연통한다. 제어기는 가스 소스 및 상기 하나 이상의 전극과 제어가능하도록 접속되고, 하나 이상의 프로세서와 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는 NF3 소스로부터 플라즈마 프로세싱 챔버로 NF3 가스를 공급하기 위한 컴퓨터 판독가능 코드, NF3 가스로부터 플라즈마를 발생하기 위한 컴퓨터 판독가능 코드, 및 NF3 가스로부터의 플라즈마에 의해 에칭층의 에칭을 야기하도록 플라즈마 컨디션을 제공하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이러한 특징 및 다른 특징은 다음의 도면들과 함께 이하의 본 발명의 상세한 설명에서 더 상세하게 설명될 것이다.
첨부된 도면의 도에 있어서, 본 발명은 한정으로서가 아니라 예시로서 설명되는 것이며, 도면에서 동일한 참조 부호는 유사한 구성요소로 간주한다.
도 1은 본 발명의 일 실시 형태의 플로우차트이다.
도 2a 및 도 2b 는 본 발명의 실시 형태에서 에칭된 층의 계략도이다.
도 3은 에칭을 위해 사용될 수 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 4a 및 도 4b는 본 발명의 일 실시 형태에서 사용된 제어기를 구현하기에 적합한 컴퓨터 시스템을 도시한다.
이하, 첨부 도면에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시형태들을 참조하여 본 발명이 상세하게 설명될 것이다. 다음의 설명에서, 다수의 특정 상세가 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 이러한 특정 상세의 일부 또는 모두 없이도 본 발명이 실시될 수 있음은 당업자에게 자명할 것이다. 다른 예시에서, 본 발명을 불필요하게 모호하게 하지 않도록 하기 위하여, 공지된 공정 단계들 및/또는 구조물에 대해서는 상세하게 설명되지 않는다.
이해를 돕기 위해, 도 1은 본 발명의 일 실시 형태에서 사용되는 프로세스의 하이 레벨 플로우 챠트이다. 유전체층을 갖는 기판이 제공된다 (단계 104). 유전체층 상부에 포토레지스트 마스크가 형성된다 (단계 108). 기판은 플라즈마 챔버 내부에 배치된다 (단계 112). NF3 를 포함하는 에천트 가스가 플라즈마 챔버에 제공된다 (단계 116). 에천트 가스로부터 플라즈마가 형성된다 (단계 120). 에천트 가스로부터 형성된 플라즈마가 유전체층을 에칭하는데 사용된다 (단계 124). 그 후 잔류 포토레지스트 마스크가 제거된다 (단계 128).
실시예
본 발명의 이해를 돕기 위해, 도 2a는 유전체층 (220) 이 제공된 기판 (단계 104) 의 단면도이다. 본 발명의 바람직한 실시형태의 예에서, 기판 (210) 은 실리콘 웨이퍼이고 유전체층 (220) 은 실리콘 산화물 기재 저유전율 유전체 재료인 유기실리케이트 글래스이다. 바람직한 실시형태에서, 유전체층 (220) 상부에 ARC층, 바람직하게 저부 반사방지 코팅 (BARC, 224) 이 배치된다. 유전체층 (220) 상부에 바람직하게 193㎚ 포토레지스트인 포토레지스트 마스크 (228)가 형성 된다 (단계 108). 바람직하게, 마스크는 불과 400㎚ 의 두께를 갖는다. 비아 패터닝은 약 400㎚의 마스크 두께를 사용한다. 트렌치 패터닝은 약 200㎚ 의 마스크 두께를 사용한다. 더욱 바람직하게, 마스크는 불과 200㎚ 의 두께를 갖는다. 기판 (210) 은 플라즈마 프로세싱 챔버 내에 배치된다 (단계 112).
도 3은 발명의 에칭에 사용될 수 있는 플라즈마 프로세싱 챔버 (300) 의 개략도이다. 플라즈마 프로세싱 챔버 (300) 는 컨파인먼트 링 (302), 상부 전극 (304), 하부 전극 (308), 가스 소스 (310) 및 배출 펌프 (320) 를 포함한다. 플라즈마 프로세싱 챔버 (300) 내에서, 기판 (210) 은 하부 전극 (308) 위에 위치된다. 하부 전극 (308) 은 기판 (210) 을 유지하기 위해 적절한 기판 척킹 메카니즘 (예를 들어, 정전기, 기계적 클램핑 등) 을 포함한다. 반응기 상면 (328) 은 하부 전극 (308) 과 직접적으로 대향하여 배치된 상부 전극 (304) 을 포함한다. 상부 전극 (304), 하부 전극 (308) 및 컨파인먼트 링 (302) 은 한정된 플라즈마 체적을 정의한다. 가스는 가스 소스 (310) 에 의해 한정된 플라즈마 체적으로 공급되고 배출 펌프 (320) 에 의해 배출 펌프 (320) 및 컨파인먼트 링 (302) 을 통해 한정된 플라즈마 체적으로부터 배출된다. 본 실시 형태에서, 가스 소스 (310) 는 NF3 소스 (312), 희석제 소스 (316) 및 스트립 가스 소스 (318) 를 포함한다. 가스 소스 (310) 는 다른 가스 소스를 더 포함할 수도 있다. RF 소스 (348) 는 하부 전극 (308) 에 전기적으로 연결된다. 챔버 벽 (352) 은 컨파인먼트 링 (302), 상부 전극 (304) 및 하부 전극 (308) 을 둘러싼다. 전극 에 RF 전력을 접속하는 상이한 결합들이 가능하다. 본 발명의 바람직한 실시형태에서, 27 MHz 및 2MHz 전력 소스들은 하부 전극에 접속된 RF 전력 소스 (348) 를 구성하고, 상부 전극은 접지된다. 제어기 (335) 는 RF 소스 (348), 배출 펌프 (320) 및 가스 소스(310) 에 제어가능하도록 접속된다.
도 4a 및 도 4b는 본 발명의 실시 형태에 사용되는 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (800) 을 도시한다. 도 4a 는 컴퓨터 시스템의 하나의 가능한 물리적 유형을 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 휴대 장치부터 대형 슈퍼 컴퓨터까지 많은 물리적 유형을 가질 수도 있다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징 (806), 디스크 드라이브 (808), 키보드 (810) 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 으로 및 컴퓨터 시스템으로부터의 데이터를 전송하는데 사용되는 컴퓨터 판독 가능 매체이다.
도 4b는 컴퓨터 시스템 (800) 에 대한 블록 다이어그램의 예시이다. 시스템 버스 (820) 에 광범위하게 다양한 서브 시스템들이 귀속된다. 프로세서 (들) (822) (중앙 처리 장치들 또는 CPU 들로도 언급됨) 이 메모리 (824) 를 포함하는 저장 디바이스에 결합된다. 메모리 (824) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 당업계에서 공지된 바와 같이, ROM 은 데이터 및 명령들을 CPU 에 단일 방향으로 전송하고 ROM 은 데이터 및 명령들을 양방향 방식으로 전송하는데 통상적으로 사용된다. 이 메모리들의 형태들 모두는 이하 설명되는 임의의 적절한 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한 고정 디스크 (826) 는 CPU (822) 에 양방향으로 결합된다 ; 그것은 부가적인 데이터 저장 용량을 제공하고 또한 이하 설명되는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 프로그램, 데이터 등을 저장하는데 사용될 수 있고, 통상적으로 1차 저장소보다 느린 (하드 디스크과 같은) 2차 저장 매체이다. 고정 디스크 (826) 내에서 보유되는 정보는 적절한 경우에 메모리 (824) 내에 가상 메모리로서 표준 방식으로 통합될 수 있음을 알게 될 것이다. 탈착가능 디스크 (814) 는 이하 설명되는 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
또한, CPU (822) 는 디스플레이 (804), 키보드 (810), 마우스 (812) 및 스피커 (830) 와 같은, 다양한 입력/출력 디바이스에 결합된다. 일반적으로, 입력/출력 디바이스는, 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치 감응성 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 보이스 또는 수기 인식기, 생물 측정학 판독기 또는 다른 컴퓨터들 중 임의의 것일 수 있다. 옵션으로, CPU (822) 는 네트워크 인터페이스 (840) 를 사용하는 전화통신 네트워크 또는 다른 컴퓨터에 결합될 수도 있다. 그러한 네트워크 인터페이스에 의해, CPU 는 네트워크로부터 정보를 수신했을 수도 있고 또는 상술한 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력했을 수도 있음이 고찰된다. 또한, 본 발명의 방법 실시형태들은 단지 CPU (822) 상에서만 실행할 수도 있고 또는 프로세싱의 일부를 공유하는 원격 CPU와 함께 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태들은 다양한 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 구비한 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 더욱 관련된다. 그 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것일 수도 있고, 또는 컴퓨터 소프트웨어 기술의 당업자에게 이용될 수 있고 공지된 종류의 것일 수도 있다. 컴퓨터 판독 가능 매체의 예들은 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM들 및 홀로그래픽 디바이스들과 같은 광학 매체; 광플로피 디스크와 같은 광자기 매체; 및 ASIC (application-specific integrated ciruit), PLD (programmable logic device), 및 ROM 과 RAM 디바이스와 같이 프로그램 코드를 저장하고 실행하도록 특별히 구성되는 하드 웨어를 포함하지만 이에 한정되는 않는다. 컴퓨터 코드의 예는 컴파일러에 의해 생성되는 것과 같은 기계 코드, 및 인터프리터를 사용하는 컴퓨터에 의해 실행되는 더 높은 레벨 코드를 포함하는 파일들을 포함한다. 또한 컴퓨터 판독가능 매체는 반송파로 구체화되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행될 수 있는 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
NF3를 포함하는 에천트 가스가 플라즈마 챔버 (300) 에 제공된다 (단계 116). 예시에서, 에천트 가스는 NF3 와 Ar과 같은 불활성 가스를 포함한다. 예를 들어, 에천트 가스는 60sccm NF3 와 100sccm Ar 일 수 있다. 본 실시예에서와 같이, 바람직하게, 에천트 가스는 플루오로카본 및 하이드로플루오로카본을 사용하지 않는다.
에천트 가스는 플라즈마로 변환된다 (단계 120). 본 실시예에서 플라즈마 챔버 내의 압력은 120 mTorr 로 설정된다. RF 소스는 27MHz 에서 500W 및 2MHz에서 100W를 제공한다. 도 2b 에 나타낸 바와 같이, 에천트 가스로부터의 플라즈마는 유전체층 (220) 내부에 피쳐 (232) 를 에칭하는데 사용된다 (단계 124). 기판이 플라즈마 프로세싱 챔버 내에 있는 동안, 잔류하는 포토레지스트 마스크를 제거하는데 애싱 공정이 사용될 수 있다 (단계 128).
본 발명은 다양한 유전체 재료를 에칭하는데 사용될 수 있다. 본 발명은 실리콘 산화물 및 유기 실리케이트 글래스와 같은 실리콘 산화물 기재 유전체 재료를 에칭하는데 사용될 수도 있다. 본 발명의 다른 명시에서, 본 발명은 유기 기재 또는 실리콘 산화물 기재 중 어느 하나일 수 있는 저유전율 유전체를 에칭하는데 사용될 수도 있다. 본 발명에 의해 에칭될 수 있는 유기 기재 저유전율 유전체의 예는 SiLk 및 유기 실리케이트 글래스일 수도 있다.
바람직하게, 본 발명은 193 ㎚ 또는 그 이상의 포토레지스트를 사용한다. 그러한 포토레지스트는 수소에 대한 탄소 비 (C/H 비) 가 낮고 내에칭성이 적은 경향이 있다.
포토레지스트 선택도는 포토레지스트의 에칭 속도에 의해 제산되는 유전체층의 에칭 속도에 의해 정의된다. 일반적으로, 포토레지스트 선택도는 포토레지스트 에칭 속도를 낮춤으로써 증가될 수도 있다. 본 발명의 NF3 에칭은 포토레지스트 에칭 속도를 증가시킨다고 생각되지만, 포토레지스트 에칭 속도의 증가보다 더 큰 유전체 에칭 속도의 증가에 의해 포토레지스트 선택도를 증가시킨다.
종래의 에칭은 에칭 동안 또는 에칭 전에 포토레지스트 위에 탄소 또는 폴리머를 증착시킴으로써 포토레지스트 에칭 속도를 낮추었다. 그러한 공정은 패턴들 사이에서 마이크로로딩을 야기시켰다. 그러한 마이크로로딩은 밀접하게 이격된 피쳐들을 갖는 영역들과 더 멀리 이격된 피쳐들을 갖는 영역들 사이에서 불균일한 에칭을 야기시켰다.
하이드로카본, 플루오로카본 또는 하이드로플루오로카본 성분이 없는 NF3 에천트만을 사용하는 본 발명의 공정은 카본 또는 폴리머를 증착시키지 않으므로 마이크로로딩을 야기하지 않는다.
또한, 본 발명의 프로세스는 포토레지스트 위글링을 감소 또는 제거할 수 있다. 포토레지스트 위글링은 포토레지스트 마스크 재료의 변형 또는 구부러짐에 의해 야기된다. 구부러지거나 또는 변형된 포토레지스트 마스크는 불규칙적으로 형상화된 피쳐를 야기한다. 이러한 포토레지스트 마스크 재료의 변형 또는 구부러짐은 포토레지스트 마스크 상에 만들어진 하이드로플루오로카본 폴리머의 보호층에 의해 야기되어 포토레지스트 에칭 속도를 감소시킨다고 생각된다. 그러한 탄소 또는 폴리머의 보호층은 포토레지스트 마스크에 힘을 가하여, 포토레지스트 마스크가 구부러지게 하거나 변형시킨다.
라인 가장자리 거칠기 및 스트라이에이션은 에칭 프로세스 동안 불균일한 폴리머 증착에 의해 야기되므로, 본 프로세스는 라인 가장자리 거칠기 및 스트라이에 이션을 감소시키는데 사용될 수도 있다.
본 발명은 몇몇 바람직한 실시형태들에 의하여 설명되었지만, 본 발명의 범위 내에 포함되는 변경, 치환, 수정 및 다양한 대체 등가물이 존재할 수 있다. 본 발명의 방법 및 장치를 구현하기 위한 많은 변경 방식이 있음을 또한 인지해야 한다. 따라서 다음의 첨부된 청구범위가 그러한 본 발명의 진정한 범위 내에 포함되는 변경, 치환 및 다양한 대체 등가물 모두를 포함하는 것으로서 해석되고자 한다.

Claims (15)

  1. 기판 상부의 저유전율 유전체층을 에칭하는 방법으로서,
    상기 저유전율 유전체층 상부에 포토레지스트 마스크를 형성하는 단계;
    상기 기판을 플라즈마 프로세싱 챔버 내에 위치시키는 단계;
    상기 플라즈마 프로세싱 챔버 내부로 NF3 와 불활성 희석제를 포함하며, 하이드로카본, 플루오로카본 및 하이드로플루오로카본 성분 중 어느 것도 포함하지 않는 에천트 가스를 제공하는 단계;
    상기 NF3 가스로부터 플라즈마를 형성하는 단계; 및
    상기 NF3 가스로부터의 플라즈마를 이용하여 상기 포토레지스트 마스크를 통해 상기 저유전율 유전체층을 에칭하는 단계를 포함하는, 저유전율 유전체층의 에칭 방법.
  2. 제 1 항에 있어서,
    상기 유전체층은 실리콘 산화물 기재 유전체층인, 저유전율 유전체층의 에칭 방법.
  3. 제 2 항에 있어서,
    상기 실리콘 산화물 기재 유전체층은 유기실리케이트 글래스인, 저유전율 유전체층의 에칭 방법.
  4. 제 3 항에 있어서,
    상기 포토레지스트 마스크를 형성하는 단계는 상기 포토레지스트 마스크를 400㎚ 이하의 두께로 형성하는, 저유전율 유전체층의 에칭 방법.
  5. 제 3 항에 있어서,
    상기 포토레지스트 마스크를 형성하는 단계는 상기 포토레지스트 마스크를 200㎚ 이하의 두께로 형성하는, 저유전율 유전체층의 에칭 방법.
  6. 제 5 항에 있어서,
    상기 불활성 희석제는 Ar 인, 저유전율 유전체층의 에칭 방법.
  7. 제 1 항에 있어서,
    상기 포토레지스트 마스크를 형성하는 단계는 상기 포토레지스트 마스크를 400㎚ 이하의 두께로 형성하는, 저유전율 유전체층의 에칭 방법.
  8. 제 1 항에 있어서,
    상기 포토레지스트 마스크를 형성하는 단계는 상기 포토레지스트 마스크를 200㎚ 이하의 두께로 형성하는, 저유전율 유전체층의 에칭 방법.
  9. 제 1 항에 있어서,
    상기 불활성 희석제는 Ar 인, 저유전율 유전체층의 에칭 방법.
  10. 제 1 항에 기재된 저유전율 유전체층의 에칭 방법에 의해 제조된 반도체 디바이스.
  11. 기판 상부의 저유전율 유전체층을 에칭하는 방법으로서,
    상기 저유전율 유전체층 상부에 400㎚ 이하의 두께로 포토레지스트 마스크를 형성하는 단계;
    상기 기판을 플라즈마 프로세싱 챔버 내에 위치시키는 단계;
    상기 플라즈마 챔버 내부로 NF3 및 불활성 희석제를 포함하며, 하이드로카본, 플루오로카본 및 하이드로플루오로카본 성분 중 어느 것도 포함하지 않는 에천트 가스를 제공하는 단계;
    상기 NF3 가스로부터 플라즈마를 형성하는 단계; 및
    상기 NF3 가스로부터의 플라즈마를 이용하여 상기 포토레지스트 마스크를 통해 상기 유전체층을 에칭하는 단계를 포함하는, 저유전율 유전체층의 에칭 방법.
  12. 제 11 항에 있어서,
    상기 불활성 희석제는 Ar 인, 저유전율 유전체층의 에칭 방법.
  13. 제 12 항에 있어서,
    상기 유전체층은 실리콘 산화물 기재 유전체층인, 저유전율 유전체층의 에칭 방법.
  14. 제 13 항에 있어서,
    상기 유전체층은 유기실리케이트 글래스인, 저유전율 유전체층의 에칭 방법.
  15. 기판에 의해 지지되고 포토레지스트 마스크에 의해 덮여 있는 저유전율 유전체층에 피쳐를 형성하기 위한 장치로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버벽; 상기 플라즈마 프로세싱 챔버 인클로저 내부에서 기판을 지지하기 위한 기판 지지체; 상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조정하기 위한 압력 조정기; 플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 공급하기 위한 하나 이상의 전극; 상기 플라즈마 프로세싱 챔버 인클로저 내부로 가스를 제공하기 위한 가스 유입구; 및 상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 배출구를 포함하는 플라즈마 프로세싱 챔버;
    NF3 소스 및 불활성 희석제 소스를 포함하고 상기 가스 유입구와 유체 연통하는 가스 소스; 및
    상기 가스 소스 및 상기 하나 이상의 전극과 제어가능하도록 접속되고, 하나 이상의 프로세서와 컴퓨터 판독가능 매체를 포함하는 제어기를 포함하고,
    상기 컴퓨터 판독가능 매체는 상기 NF3 소스로부터의 NF3 가스와 상기 불활성 희석제 소스로부터의 불활성 희석제를 포함하고 하이드로카본, 플루오로카본 및 하이드로플루오로카본 성분 중 어느 것도 포함하지 않는 에천트 가스를 상기 플라즈마 프로세싱 챔버내로 제공하기 위한 컴퓨터 판독가능 코드; 상기 NF3 가스 및 불활성 희석제로부터 플라즈마를 발생시키기 위한 컴퓨터 판독가능 코드; 및 상기 NF3 가스 및 불활성 희석제로부터의 상기 플라즈마를 이용하여 상기 저유전율 유전체층을 에칭하도록 플라즈마 컨디션을 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 형성 장치.
KR1020077025010A 2005-03-29 2006-03-07 포토레지스트 마스크를 이용한 에칭 KR101252878B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/094,559 2005-03-29
US11/094,559 US7442649B2 (en) 2005-03-29 2005-03-29 Etch with photoresist mask
PCT/US2006/008306 WO2006104655A2 (en) 2005-03-29 2006-03-07 Etch with photoresist mask

Publications (2)

Publication Number Publication Date
KR20080013874A KR20080013874A (ko) 2008-02-13
KR101252878B1 true KR101252878B1 (ko) 2013-04-09

Family

ID=36917335

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077025010A KR101252878B1 (ko) 2005-03-29 2006-03-07 포토레지스트 마스크를 이용한 에칭

Country Status (6)

Country Link
US (1) US7442649B2 (ko)
JP (1) JP2008536305A (ko)
KR (1) KR101252878B1 (ko)
CN (1) CN101151719A (ko)
TW (1) TWI393997B (ko)
WO (1) WO2006104655A2 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8887026B2 (en) * 2009-04-14 2014-11-11 Ben Gurion University Of The Negev Efficient detection of errors in associative memory
US8871650B2 (en) * 2011-10-28 2014-10-28 Applied Materials, Inc. Post etch treatment (PET) of a low-K dielectric film
US20140127901A1 (en) * 2012-11-08 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k damage free integration scheme for copper interconnects
KR20140000076A (ko) * 2012-06-22 2014-01-02 삼성전기주식회사 터치패널
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237228A (ja) * 2000-02-24 2001-08-31 Canon Sales Co Inc 基板処理方法および基板処理装置ならびにデバイス製造方法
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4522681A (en) * 1984-04-23 1985-06-11 General Electric Company Method for tapered dry etching
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
GB2219434A (en) * 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
JPH0360121A (ja) 1989-07-28 1991-03-15 Sony Corp ドライエッチング方法
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6001538A (en) 1998-04-06 1999-12-14 Taiwan Semiconductor Manufacturing Company Ltd. Damage free passivation layer etching process
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6451512B1 (en) * 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6686293B2 (en) 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040063308A1 (en) * 2002-09-27 2004-04-01 Taiwan Semiconductor Manufacturing Company Method for forming openings in low-k dielectric layers
US7001833B2 (en) * 2002-09-27 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming openings in low-k dielectric layers
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4538209B2 (ja) 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237228A (ja) * 2000-02-24 2001-08-31 Canon Sales Co Inc 基板処理方法および基板処理装置ならびにデバイス製造方法
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法

Also Published As

Publication number Publication date
US20060223327A1 (en) 2006-10-05
US7442649B2 (en) 2008-10-28
CN101151719A (zh) 2008-03-26
JP2008536305A (ja) 2008-09-04
WO2006104655A2 (en) 2006-10-05
WO2006104655A3 (en) 2007-02-01
TWI393997B (zh) 2013-04-21
TW200643611A (en) 2006-12-16
KR20080013874A (ko) 2008-02-13

Similar Documents

Publication Publication Date Title
KR101252878B1 (ko) 포토레지스트 마스크를 이용한 에칭
US7491647B2 (en) Etch with striation control
US8614149B2 (en) Critical dimension reduction and roughness control
US8124516B2 (en) Trilayer resist organic layer etch
KR101083622B1 (ko) 피쳐 임계 치수의 감소
US7273815B2 (en) Etch features with reduced line edge roughness
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
US7718542B2 (en) Low-k damage avoidance during bevel etch processing
US20090163035A1 (en) Etch with high etch rate resist mask
US20050277289A1 (en) Line edge roughness reduction for trench etch
US7682516B2 (en) Vertical profile fixing
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
KR20090091292A (ko) 탈불소화 프로세스
US8470715B2 (en) CD bias loading control with ARC layer open
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160324

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee