TWI728493B - 半導體裝置的製造方法及其接觸插塞的製造方法 - Google Patents

半導體裝置的製造方法及其接觸插塞的製造方法 Download PDF

Info

Publication number
TWI728493B
TWI728493B TW108136149A TW108136149A TWI728493B TW I728493 B TWI728493 B TW I728493B TW 108136149 A TW108136149 A TW 108136149A TW 108136149 A TW108136149 A TW 108136149A TW I728493 B TWI728493 B TW I728493B
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
mask
intermediate layer
cut
Prior art date
Application number
TW108136149A
Other languages
English (en)
Other versions
TW202018763A (zh
Inventor
黃玉蓮
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202018763A publication Critical patent/TW202018763A/zh
Application granted granted Critical
Publication of TWI728493B publication Critical patent/TWI728493B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

半導體裝置之目標層中的導電接觸件之開口的圖案化方法,以及形成導電接觸件的方法。此開口的圖案化方法可用以形成在一半導體結構的一層間介電層中的接觸開口,以設置連接至鰭式場效電晶體裝置的源極/汲極區的接觸件。通過光學微影技術將一四層光阻的一第一中間層的槽口以及在四層光阻的第一中間層上方的一光阻層的一截切MD圖案進行圖案轉移,以對一硬質罩幕層圖案化,而形成一截切幕層。當截切幕層形成之後,在一層間介電層中形成接觸開口至半導體結構的鰭式場效電晶體裝置的源極/汲極區。可填充導電材料於這些接觸開口中,而定義出導電接觸件(例如導電插塞)。

Description

半導體裝置的製造方法及其接觸插塞的製造方法
本發明實施例內容是有關於一種半導體裝置及其製造方法,特別是有關於一種以四層光阻對目標層進行圖案化的半導體裝置及其製造方法。
隨著半導體裝置的尺寸不斷縮小,各種製程技術(例如光學微影)也相應的調整,以使得製造之裝置具有愈來愈小的尺寸。例如,隨著閘極密度的增加,製造裝置中各種部件(例如,在上方的互連部件)的製程也適應性的調整,使這些製程可整體上相容於縮小的裝置部件。然而,由於半導體製程的製程窗口不斷的縮小,此些裝置之製造已經接近且甚至超過光學微影設備的理論極限。隨著半導體裝置不斷縮小,裝置的元件之間所需要的間隔(亦即,節距)比起可使用傳統光學罩幕及光學微影設備製造的節距要來得更小。
本發明的一些實施例提供一種半導體裝置的製造方法。此製造方法包括在一目標層的上方沉積一第一罩幕層,以及形成一四層光阻於第一罩幕層的上方。一些實施例中,半導體裝置的製造方法亦包括轉移四層光阻的一第一光阻層的一槽口圖案至四層光阻的一第一中間層中,以及形成並轉移槽口圖案至四層光阻的一底層中。一些實施例中,半導體裝置的製造方法還包括轉移底層的槽口圖案以及切口圖案至第一罩幕層中,轉移底層的槽口圖案以及切口圖案至第一罩幕層中。一些實施例中,半導體裝置的製造方法更包括使用第一罩幕層的槽口圖案以及切口圖案對目標層進行蝕刻,以在目標層中形成複數個接觸開口。
本發明的一些實施例又提供一種半導體裝置的製造方法。此製造方法包括在設置於一半導體結構上方的一化合物光阻層的一第一中間層中,形成一系列的槽口。一些實施例中,半導體裝置的製造方法還包括形成一圖案化的蝕刻罩幕,此蝕刻罩幕包括一第二光阻層中的複數個光阻截切島,這些光阻截切島設置在化合物光阻層的第一中間層中的此一系列的槽口的上方,且這些光阻截切島跨過且填入在第一中間層中的一或多個此一系列的槽口的一部分。一些實施例中,半導體裝置的製造方法亦包括轉移此一系列的槽口以及此圖案化的蝕刻罩幕至化合物光阻層的一底層,以形成一截切罩幕。一些實施例中,半導體裝置的製造方法更包括使用此截切罩幕形成複數個接觸開口,這些接觸開口穿過設置在半導體結構上方的一層間介電層,且這些接觸開口暴露出在此半導體結構中的裝置的源極和汲極區的部分。
本發明的一些實施例還提供一種鰭式場效電晶體裝置的接觸插塞的製造方法,包括在一半導體結構的上方沉積一硬質罩幕層;以及在硬質罩幕層的上方形成一四層光阻層。一些實施例中,此製造方法還包括在四層光阻層的一第一中間層中蝕刻出一系列的槽口;以及在第一中間層的留下部分的上方形成一圖案化的光阻罩幕。一些實施例中,此製造方法亦包括轉移圖案化的光阻罩幕和此一系列的槽口至四層光阻層的一底層中。一些實施例中,此製造方法還包括使用底層中的圖案化的光阻罩幕和系列的槽口,以在硬質罩幕層中形成一截切幕層。一些實施例中,此製造方法又包括蝕刻出接觸開口,這些接觸開口穿過一層間介電層且到達在半導體結構中的這些鰭式場效電晶體裝置的源極區和汲極區的表面。一些實施例中,此製造方法更包括沉積一導電材料於這些接觸開口中,以形成這些鰭式場效電晶體裝置的接觸插塞。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上方或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
根據一些實施例,係提出半導體裝置以及半導體裝置之製造方法。在一些實施例中,進行一圖案化製程,以在半導體裝置的一目標層(target layer)中圖案化出用來形成導電部件的開口。例如,可經由圖案化製程形成可連接至電晶體裝置的接觸件的開口,例如形成源極/汲極接觸件(source/drain contacts)或是閘極接觸件(gate)的開口。在一實施例中,目標層可以是低介電常數介電層(low-k dielectric layer)或氧化層,並使用一硬質罩幕層形成前述開口。根據一些實施例,使用光學微影和一圖案化的四層光阻設計可將一圖案轉移至硬質罩幕層。隨後,可在目標層的開口中填充導電材料以定義出導電部件,且導電部件具有以第二介電層定義的切口(cuts)。一些的導電部件可具有精細節距(fine pitch),或者一些的切口可具有精細節距 。
第1A-1B圖繪示根據本發明一些實施例,由形成半導體結構101的接觸件的製程之一個中間步驟所製得的第一中間結構(first intermediate structure)100的示意圖。
在一實施例中,半導體結構101可包含具有半導體鰭片104的一基底103、形成於基底103內的源極區105和汲極區107、以及具有閘極區109於其中的一內層介電層111。在一實施例中,源極區105、汲極區107和閘極區109可以在半導體結構101中形成多個鰭式場效電晶體(FinFET)。半導體結構101可以是由一半導體材料例如矽、摻雜或未摻雜的、或者一絕緣層上覆半導體(Semiconductor-On-Insulator;SOI)基底的主動層所製得。半導體結構101可包含其他半導體材料,例如鍺;一化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述之組合。亦可使用其他基底,例如多層基底或漸變基底。在一實施例中,基底103可具有在約100 nm至約200 nm範圍之間的厚度Th103,例如約150 nm,且內層介電層111可沉積至約10 nm至約50 nm範圍之間的總厚度Th111。然而,基底103和內層介電層111可以具有任何合適的厚度。因此,半導體結構101的總厚度Th101 可以在約110 nm至約250 nm範圍之間,例如約170 nm。
第1A圖更示出在半導體結構101的上方選擇性設置的一蝕刻停止層113,且此蝕刻停止層113物理性接觸半導體結構101。 在一實施例中,可在半導體結構101中的一個或多個鰭式場效電晶體裝置的鰭片、源極區105、汲極區107和閘極區109的上方形成蝕刻停止層113。 在一些實施例中,蝕刻停止層113可由氮化矽、SiON, SiCON, SiC, SiOC, SiCxNy, SiOx、其他介電質、前述之組合、或前述之類似物所形成,而且可藉由電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition ;PECVD)、低壓化學氣相沉積(low pressure CVD;LPCVD)、物理氣相沉積法(physical vapor deposition;PVD)、或類似方法而形成。然而,可以使用任何合適的材料和製程形成蝕刻停止層113。在一實施例中,蝕刻停止層113可沉積至約2 nm至約10 nm範圍之間的總厚度Th113,例如約5 nm。然而,形成的蝕刻停止層113可以具有任何合適的厚度。
根據一實施例,第1A圖更示出直接設置在蝕刻停止層113之頂部的上方的一目標層115。在隨後對目標層115進行蝕刻製程的期間,蝕刻停止層113可作為蝕刻製程的一停止層。因此,可根據和配合用於形成目標層115所選擇之材料,而選擇用於形成蝕刻停止層113的材料和製程。在一些實施例中,目標層115是一介電層,例如是一層間介電(ILD0)層,且可由一氧化物例如磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽酸玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、非摻雜的矽玻璃(undoped Silicate Glass;USG)、四乙氧基矽烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、或其類似物質而形成。而形成方法例如包含化學氣相沉積法(CVD)、流動式化學氣相沉積法(FCVD)、旋轉塗佈、或類似方法。然而,可以使用其他任何合適的材料和製程形成目標層115。在一實施例中,目標層115可以沉積至約50 nm至約150 nm範圍之間的總厚度Th115,例如約80 nm。然而,形成的目標層115可以具有任何合適的厚度。
雖然第1A圖示出目標層115與蝕刻停止層113物理性的接觸,可以在目標層115和蝕刻停止層113之間設置任何數量的中間層(intervening layers)。這些中間層可包含其他層間介電層,且這些中間層中可具有接觸插塞(contact plugs)、導線、以及/或導孔,或者可包含一或多個調節層(例如蝕刻停止層、黏著層、等等)、前述之組合、和其類似物。
可在目標層115的上方形成一硬質罩幕層117。硬質罩幕層117可由包含一金屬(例如氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物(例如碳化鎢)、或其類似物)以及/或一類金屬(例如氮化矽、氮化硼、碳化矽、或其類似物)的材料所製得。在一些實施例中,相較於其他材料層例如目標層115,或者相較於後續形成的材料層,可以使硬質罩幕層117具有更高的蝕刻選擇性來決定硬質罩幕層117的材料組成。硬質罩幕層117可由例如化學氣相沉積(CVD)、原子層沉積(ALD)、或類似製程而形成。然而,可以使用其他任何合適的材料和製程形成硬質罩幕層117。在一些實施例中,硬質罩幕層117可具有在約20 nm至約50 nm範圍之間的厚度Th117,雖然其他實施例中,硬質罩幕層117也可以具有其他合適的厚度。
第1A圖更示出形成於硬質罩幕層117的上方的第一四層光阻(first tetra-layer photoresist)121。在一實施例中, 第一四層光阻121包含多個罩幕層,其可以是使用例如旋轉塗佈而毯覆式地依序進行沉積。在其他實施例中,可使用例如化學氣相沉積法(CVD)、原子層沉積(ALD)、或類似的製程,而沉積一或多個罩幕層。然而,可以使用其他任何合適的製程和任何合適的製程組合沉積第一四層光阻121的罩幕層。在一實施例中,第一四層光阻121可以沉積至約120 nm至約500 nm範圍之間的總厚度Th121,例如約210 nm。然而,形成的第一四層光阻121可以具有任何合適的厚度。
再參照第1A圖,根據一實施例,第一四層光阻121可包含一底層123 形成於硬質罩幕層117的上方。在一些實施例中,底層123可以由聚合物製得。在一實施例中,底層123可以是 一底部抗反射塗層(bottom anti-reflective coating layer;BARC layer)。根據一實施例,底層123可以包含例如CxHyOz的材料,且使用一旋轉塗佈製程而製得。然而,可以使用其他任何合適的材料和任何合適的製程形成底層123。在一實施例中,底層123可以沉積至約50 nm至約200 nm範圍之間的總厚度Th123,例如約150 nm。然而,形成的底層123可以具有任何合適的厚度。
第一四層光阻121更包含第二中間層125形成於底層123的上方。第二中間層125可以包含材料例如Six Hy Cz Ow 、矽或金屬氧化物,且使用一或多個沉積製程例如旋轉塗佈、化學氣相沉積法、原子層沉積、或類似方法而製得。然而,可以使用其他任何合適的材料和製程形成第二中間層125。在一些實施例中,相較於其他材料層,例如底層123以及/或硬質罩幕層117或者在對第二中間層125圖案化時的其他可提供蝕刻停止能力的材料層,可以使第二中間層125比前述其他材料層具有更高的蝕刻選擇性,而決定第二中間層125的材料組成。第二中間層125可包含超過一層的材料層,且可包括超過一種材料。在一些實施例中,第二中間層125可具有約20 nm至約50 nm範圍之間的總厚度Th125,例如約30 nm。然而,形成的第二中間層125可以具有任何合適的厚度。
第一四層光阻121更包含第一中間層127形成於第二中間層125的上方。可使用一或多個沉積製程,例如旋轉塗佈、化學氣相沉積法、原子層沉積、或類似方法,而製得第一中間層127。然而,可以使用其他任何合適的材料和製程形成第一中間層127。在一些實施例中,在一些實施例中,相較於其他材料層,例如底層123、第二中間層125或者在對第一中間層127圖案化時的其他可提供蝕刻停止能力的材料層,可以使第一中間層127比前述其他材料層具有更高的蝕刻選擇性,而決定第一中間層127的材料組成。第一中間層127可包含一無機材料,其可以是氮化物(例如氮化矽)、氮氧化物(例如氮氧化矽)、氧化物(例如氧化矽)、或類似物。然而,可以使用其他任何合適的材料和任何合適的製程形成第一中間層127。再者,第一中間層127可包含超過一層的材料層,且可包括超過一種材料。在一些實施例中,第一中間層127具有約20 nm至約50 nm範圍之間的總厚度Th127,例如約30 nm。然而,形成的第一中間層127可以具有任何合適的厚度。
第一四層光阻121更包含第一光阻層129形成於第一中間層127的上方。第一光阻層129可以由光阻(例如一光敏材料)製成,其可包含有機材料,且可以是一正型光敏材料(positive photosensitive material)或一負型光敏材料(negative photosensitive material)。可使用一或多個沉積製程,例如旋轉塗佈、化學氣相沉積法、原子層沉積、或類似方法,而製得第一光阻層129。在一些實施例中,第一光阻層129具有約30 nm至約200 nm範圍之間的總厚度Th129,例如約100 nm。然而,形成的第一光阻層129可以具有任何合適的厚度。因此,根據一些實施例,第一中間結構100可具有約312 nm至約1460nm範圍之間的厚度Th100,例如約615 nm。然而,形成的第一中間結構100可以具有任何合適的厚度。
第1A-1B圖更示出圖案化的第一光阻層129(例如 使用光學微影罩幕和蝕刻製程)。沉積之後,可以對第一光阻層129進行圖案化,以在第一光阻層129中形成多個第一槽口(first slot openings)131。在一實施例中,在第一光阻層129中的第一槽口131可具有在約40 nm至約60 nm範圍之間的第一寬度W1131 ,例如約45 nm。然而,第一槽口131可以具有任何合適的寬度。在一些實施例中,在第一光阻層129中的第一槽口131可以具有不同的寬度W1131 。在一些實施例中,單一個第一槽口131可能具有一個以上的寬度。
如第1B圖所示的第一中間結構100的上視圖150,通過圖案化的第一光阻層129,一系列的第一槽口131暴露出第一中間層127的表面區域。因此,第一光阻層129留下的部分可做為第一中間層127上方的罩幕層。
第2A-2B圖繪示將如第1A圖所示之第一光阻層129的圖案轉移至第一中間層127的第一蝕刻製程。相較於第一光阻層129和第二中間層125,第一中間層127具有高蝕刻選擇性。在一實施例中,第一光阻層129作為第一中間層127的第一圖案的蝕刻罩幕。此蝕刻製程可以是非等向性的,使得在第一光阻層129中的第一槽口131可以延伸穿過第一中間層127。進行第一中間層127圖案化的蝕刻方法可選自於反應性離子蝕刻(RIE),其可使用電感耦合電漿(Inductively Coupled Plasma,ICP)、電容耦合電漿(Capacitively Coupling Plasma,CCP)、或類似電漿而進行蝕刻。製程氣體可以包含一或多種蝕刻氣體以及一或多種形成聚合物的氣體。根據一些實施例,蝕刻氣體可包含一種含氟氣體,例如CF4 、NF3 、或前述之組合。形成聚合物的氣體可包含CHF3 , CH2 F2 , CH3 F, C4 F6 , C4 F8 、或前述之組合。製程氣體的壓力可以是在5 mTorr至200 mTorr的範圍之間。晶圓的溫度可在約0°C與約50°C之間的範圍之間。根據一實施例,在第一中間層127中的第一槽口131可具有第二寬度W2131 。在一實施例中,在第一中間層127中的第一槽口131可具有與在第一光阻層129中的第一槽口大致相同(或者稍微較小)的尺寸。在其他實施例中,例如,由於臨界尺寸(critical dimension)的縮減,在第一中間層127中的第二寬度W2131 可不同於在第一光阻層129中的第一寬度W1131 。 根據一實施例,在第一中間層127中的第一槽口131的第二寬度W2131 可以是在約6 nm至12 nm範圍之間,例如約9 nm。然而,第一槽口131可以具有任何合適的寬度。如第2B圖所示之第二中間結構200的上視圖250,通過留下的第一中間層127的部分,一系列的第一槽口131暴露出第二中間層125的表面區域。
當第一光阻層129的圖案被轉移至第一中間層127,在第一光阻層129中的第一槽口131的尺寸和特性也被轉移至第一中間層127中第一槽口131的尺寸和特性。因此,在一些實施例中,依據在第一光阻層129中的第一槽口131的尺寸和特性,在第一中間層127中的第一槽口131的第二寬度W2131 可能彼此並不相同。在一些實施例中,第一中間層127中的單一個第一槽口131可能具有一個以上的寬度。當已在第一中間層127中形成第一槽口131,可以移除任何第一光阻層129留下的部分,而暴露出第一中間層127留下的部分。
第3A-3B圖繪示沉積第二光阻層329於圖案化的第一中間層127的上方且填入第一槽口131,第二光阻層329並位於第二中間層125暴露於第一中間層127中的第一槽口131之間的部分的上方。因此,底層123、第二中間層125、第一中間層127以及第二光阻層329合稱為第二四層光阻(second tetra-layer photoresist)321。在一些實施例中,用來沉積第二光阻層329的材料和製程可以與上述用來沉積第一光阻層129和形成第一槽口131的材料和製程相同。例如,可使用旋轉塗佈製程或類似方法沉積一光敏材料,以做為圖案化的第一中間層127之上方的第二光阻層329。然而,可以使用其他任何合適的材料和任何合適的製程以沉積第二光阻層329。
在一些實施例中,第二光阻層329可具有與第一光阻層129相同的厚度。在其他實施例中,第二光阻層329可具有與第一光阻層129不同的厚度。在一實施例中,第二光阻層329具有約50 nm至約200 nm範圍之間的總厚度Th329,例如約100 nm。然而,形成的第二光阻層329可以具有任何合適的厚度。
第3A-3B圖更示出圖案化的第二光阻層329。在一實施例中,具有第二槽口(second slot openings)331的圖案化的第二光阻層329與圖案化的第一光阻層129偏移重疊。在一些實施例中,用來形成第二光阻層329中的第二槽口331的製程可以與上述用來形成第一光阻層129中的第一槽口131的製程相同。例如,可以使用光學微影製程形成第二光阻層329中的第二槽口331。然而,可以使用其他任何合適的材料和任何合適的製程形成第二光阻層329中的第二槽口331。因此,通過圖案化的第二光阻層329,在第二光阻層329中的第二槽口331暴露出第一中間層127的第二表面。如第三中間結構300的上視圖350所示,通過圖案化的第二光阻層329,一系列的第二槽口331暴露出第一中間層127的第二表面。
在一些實施例中,第二光阻層329的第二槽口331可具有與第一光阻層129的第一槽口131相同的寬度。在其他實施例中,第二光阻層329的第二槽口331可具有與第一光阻層129的第一槽口131不同的寬度。在一實施例中,第二光阻層329的第二槽口331具有在約40 nm至約60 nm的第一寬度W1331 ,例如約45 nm。然而,第二光阻層329的第二槽口331可以具有任何合適的寬度。
第4A-4B圖繪示在第二蝕刻製程中將第二光阻層329的圖案轉移至留下的第一中間層127。相較於第二光阻層329和第二中間層125,第一中間層127具有高蝕刻選擇性。在一實施例中,第二光阻層329作為第一中間層127留下部份的第二圖案的一個蝕刻罩幕。在一些實施例中,第二蝕刻製程可以和用於轉移第一光阻層129的圖案至第一中間層127的第一蝕刻製程相同。在一些實施例中,第二蝕刻製程可以是非等向性蝕刻製程,使得在第二光阻層329中的第二槽口331可以延伸穿過第一中間層127。然而,可以使用任何合適的蝕刻製程做為第二蝕刻製程。根據一實施例,在第一中間層127中的第二槽口331可具有第二寬度W2331 ,其大致與在第一中間層127中的第一槽口131的第二寬度W2131 相同尺寸。在其他實施例中,在第一中間層127中的第二槽口331的第二寬度W2331 ,可以與在第一中間層127中的第一槽口131的第二寬度W2131 的尺寸不同。
當第二光阻層329的圖案被轉移至第一中間層127之留下的部分,在第二光阻層329中的第二槽口331的尺寸和特性也被轉移至第一中間層127中第二槽口331的尺寸和特性。因此,在一些實施例中,依據在第二光阻層329中的第二槽口331的尺寸和特性,在第一中間層127中的第二槽口331可具有相同的第二寬度W2231 。在其他實施例中,在第一中間層127中的第二槽口331的第二寬度W2231 可能彼此並不相同。根據一些實施例,在第一中間層127中的單一個第二槽口331可能具有一個以上的寬度。
在一實施例中,如同於第二光阻層329中形成的第二槽口331的尺寸,第二槽口331可具有與第一中間層127之留下部分的第一槽口大致相同(或者稍微較小)的尺寸。在其他實施例中,例如,由於臨界尺寸(critical dimension)的縮減,第二寬度W2231 可不同於第一寬度W1131 。根據一實施例,在第一中間層127的留下部分中,第二槽口的331第二寬度W2231 可以是在約6 nm至12 nm範圍之間,例如約9 nm。然而,第二槽口的331可以具有任何合適的寬度。
如第4B圖所示之第四中間結構400的上視圖450,通過仍留下的第一中間層127的部分,一系列的第二槽口331穿過第一中間層127的留下部分,而暴露出第二中間層125的第二表面,其中第二中間層125之暴露的第二表面係與第二中間層125之暴露的第一表面一起並排。在一實施例中,具有第二槽口331的圖案化的第二光阻層329可以與圖案化的第一光阻層129有偏移重疊。在第一中間層127仍留下的部分之間,第二中間層125之暴露的第一表面是與一系列第二中間層125之暴露的第二表面交替設置。第一中間層127仍留下的部分可以定義圖案並作為一罩幕層(例如第一槽口圖案),而對第二中間層125進行圖案化。
第5A-5B圖繪示將第三光阻層529沉積於圖案化的第一中間層127上方,以及填充於第一中間層127中第一槽口131和第二槽口331之間的第二中間層125的第一和第二暴露部分。因此,底層123、第二中間層125、第一中間層127以及第三光阻層529合稱為第三四層光阻(third tetra-layer photoresist)521。在一些實施例中,用來沉積第三光阻層529的材料和製程可以與上述用來沉積第一光阻層129的材料和製程相同。例如,可使用旋轉塗佈製程或類似方法沉積一光敏材料,以做為圖案化的第一中間層127之上方的第三光阻層529。然而,可以使用其他任何合適的材料和任何合適的製程以沉積第三光阻層529。
在一些實施例中,第三光阻層529可具有與第一光阻層129相同的厚度。在其他實施例中,第三光阻層529可具有與第一光阻層129不同的厚度。在一實施例中,第三光阻層529具有約30 nm至約100 nm範圍之間的總厚度Th529,例如約50 nm。然而,形成的第三光阻層529可以具有任何合適的厚度。
第5A-5B圖更示出圖案化的第三光阻層529,其形成一截切罩幕(cut mask)於圖案化的第一中間層127的上方。第5B圖中以虛線長方形標示之區域是表示對應於第5A圖中所示之第五中間結構500的上視圖550的部分。可以進行任何合適的光學微影製程,以進行第三光阻層529的圖案化。例如,第三光阻層529的圖案化步驟可以類似於上述關於第一四層光阻層121或第二四層光阻層321的圖案化步驟。
在一些實施例中,在相鄰第一中間層127留下的部分之間,多個截切金屬到源極/汲極(metal-to-S/D;MD)光阻部分535(例如光阻截切島)可以延伸跨過並填充一系列第一槽口131和一系列第二槽口331的其中一個或多個的一部分。因此,截切MD光阻部分535填充在第一中間層127中的部分的第一槽口131以及填充在第一中間層127中的部分的第二槽口331。雖然第5A圖僅繪示兩個截切MD光阻部分535,亦可以在沿著第一中間層127的留下部分的表面而設置多個截切MD光阻部分535(亦即,截切圖案)於多個區域中,如第5B圖中第五中間結構500的上視圖550所示。
在一些實施例中,截切MD光阻部分535可具有相同的長度和相同的寬度。在其他實施例中,截切MD光阻部分535可具有不同的長度和不同的寬度。在一些實施例中,第一組截切MD光阻部分535可具有第一長度L1535 和第一寬度W1535 ,而第二組截切MD光阻部分535可具有第二長度L2535 和第二寬度W2535 。 在一實施例中,第一長度L1535 可在約40 nm至約70 nm之間,例如約50 nm,且第一寬度W1535 可在約25 nm至約40 nm之間,例如約30 nm 。 在一實施例中,第二長度L2535 可在約120 nm至約200 nm之間,例如約150 nm,且第二寬度W2535 可在約30 nm至約50 nm之間,例如約40 nm 。然而,形成的截切MD光阻部分535可以具有任何合適的長度和寬度。
再者,雖然如第5A圖所示之截切MD光阻部分535僅覆蓋其中一個第一槽口131的一部分的一個區域,各個截切MD光阻部分535可以如第5B圖中第五中間結構500的上視圖550所示,是覆蓋超過第一槽口131的一部分、第二槽口331的一部分、或前述之組合的一個區域。然而,多個截切MD光阻部分535(亦即,截切圖案)可以覆蓋第一中間層127中的第一槽口131的任何部分以及第一中間層127中的第二槽口331的任何部分,其適合將截切圖案轉移至底層123,而符合製程需求。
在一些實施例中,第一組截切MD光阻部分535可以沿著第一槽口131以相同的第一距離D1131 彼此相隔開來。在一實施例中,沿著第一槽口131的相同的第一距離D1131 可以在約80 nm 至約120 nm之間,例如約90 nm。在一些實施例中,第二組截切MD光阻部分535可以沿著第二槽口331以不同的距離彼此相隔開來。例如,第二組截切MD光阻部分535可沿著第二槽口331以第二距離D2331 、第三距離D3331 以及第四距離D4331 相隔開來。在一些實施例中,沿著第二槽口331的截切MD光阻部分535之間的第二距離D2331 可以在約90 nm 至約150 nm之間,例如約120 nm。沿著第二槽口331的截切MD光阻部分535之間的第三距離D3331 可以在約60 nm 至約100 nm之間,例如約70 nm。沿著第二槽口331的截切MD光阻部分535之間的第四距離D4331 可以在約30 nm 至約60 nm之間,例如約40 nm。
第6A-6B圖繪示在第三蝕刻製程中將第一中間層127的留下部分的圖案以及多個截切MD光阻部分535轉移至第二中間層125的部分。在第三蝕刻製程的一實施例中,相較於第一中間層127、由第三光阻層529製得的截切罩幕以及底層123,第二中間層125具有高蝕刻選擇性。在一實施例中,第一中間層127的圖案以及第三光阻層529製得的截切罩幕作為一蝕刻遮罩,以在第三蝕刻製程的一初始蝕刻步驟中對第二中間層125進行圖案化。當第二中間層125被圖案化後,第三光阻層529的截切罩幕535的留下的部分以及第一中間層127的留下的部分,如第5A-5B圖所示, 可以被移除以形成如第6A圖所示之第六中間結構600a。
第6A-6B圖更示出圖案化的第二中間層125,以在底層123上方形成一截切罩幕。第6B圖中以虛線長方形標示之區域是表示對應於第6A圖中所示之第六中間結構600a的上視圖650a的部分。底層123的暴露部分出現在設置於第二中間層125留下的部分之間的第一開口633a和第二開口631a內,且未被第二中間層125處的多個截切MD光阻部分635a所覆蓋。可以通過一或多個任何合適的光學微影製程,使用一或多個蝕刻製程移除第二中間層125的相對應部分。
根據一些實施例,用來對第二中間層125圖案化的蝕刻製程可以是非等向性的蝕刻製程,使得在第一中間層127留下的部分之間的第一槽口131以及第二槽口331可以延伸穿過第二中間層125。如此,在第二中間層125留下的部分之間形成第一開口633a以及第二開口631a,且第二中間層125留下的部分與位於第二中間層125留下的部分內的截切MD光阻部分635a形成一體。因此,第二中間層125的第一開口633a以及第二開口631a可具有與在第一中間層127中的第一槽口以及第二槽口大致相同(或者稍微較小)的尺寸。所形成的中間結構600a如第6A、6B圖所示,且如第6A圖所示具有截切MD光阻部分635a的中間結構600a是具有和第一開口633a及第二開口631a之間的第二中間層125留下的部分呈對比的一對比圖案。此截切MD光阻部分635a的對比圖案僅是用以輔助在第二中間層125中形成的圖案在視覺上的感知。
在一些實施例中,用來進行第二中間層125圖案化的蝕刻製程可以包含使第二中間層125相對於第一中間層127、截切MD光阻部分535和底層123可具有高蝕刻選擇性的一種乾式蝕刻製程。例如,此蝕刻製程可包含電漿蝕刻製程。在一些實施例中,電漿蝕刻製程可包含以約100 Watts至約800Watts之間的功率產生的電感耦合電漿(ICP),且可以在10 mTorr至100 mTorr範圍之間的壓力下進行。在一些實施例中,電漿蝕刻製程可使用Cl2 、HBr、一含氟製程氣體例如CF4 , CH2 F2 , CHF3 , 或其他類型的製程氣體。在一些實施例中,蝕刻方法可以選自一反應性離子蝕刻(RIE)製程(例如ICP或CCP),或類似製程。製程氣體可包含一或多種蝕刻氣體以及一或多種形成聚合物的氣體。根據一些實施例,例如,當第二中間層125包含氧化矽,蝕刻氣體可包含一含氟氣體,例如CF4 、NF3 、或前述之組合。形成聚合物的氣體可包含CHF3 , CH2 F2 , CH3 F, C4 F6 , C4 F8 、或前述之組合。製程氣體的壓力可以是在5 mTorr至200 mTorr的範圍之間。在蝕刻第二中間層125期間,中間結構500的溫度可在約0°C與約50°C之間的範圍之間。然而,其他實施例可以使用任何其他形成合適的製程氣體或蝕刻製程。在一些例子中,在蝕刻第二中間層125期間,可能會使截切MD光阻部分535和第一中間層127耗損。當第一槽口131和第二槽口331的圖案以及截切MD光阻部分535已經被轉移至第二中間層125,可以移除任何截切MD光阻部分535仍留下的部分以及第一中間層127仍留下的部分,以暴露出第二中間層125留下的部分以及截切MD光阻部分635a。圖案化完成後,第二中間層125可以作為後續第三蝕刻製程的蝕刻步驟中所使用的蝕刻罩幕(例如截切罩幕),以將第二中間層125的圖案轉移至底層123。
第6C-6D圖繪示在後續第三蝕刻製程的蝕刻步驟中,在第二中間層125中形成的圖案轉移至底層123的相應部分。在第三蝕刻製程後續步驟的一實施例中,相較於第二中間層125和硬質罩幕層117,底層123具有高蝕刻選擇性。在一實施例中,在第三蝕刻製程的後續蝕刻步驟中,第二中間層125的圖案是作為對底層123圖案化的一蝕刻罩幕。當底層123被圖案化後,可以移除如第6A、6B圖所示之第二中間層125留下的部分,而形成如第6C圖所示之中間結構600b。
第6C-6D圖更示出底層123的圖案,以在硬質罩幕層117上方形成一截切罩幕。第6D圖中以虛線長方形標示之區域是表示對應於第6C圖中所示之中間結構600b的上視圖650b的部分。底層123所暴露出來的部分,其位於第二中間層125的留下部分之間未被截切MD光阻部分635a覆蓋的第一開口633a和第二開口631a內, 可以使用一或多個蝕刻製程移除,其中截切MD光阻部分635a與第二中間層125的留下部分形成一整體。底層123的圖案可以通過任何合適的光學微影製程而形成。
根據一些實施例,對底層123圖案化的蝕刻製程可以是非等向性的蝕刻製程,使得在第二中間層125的留下部分之間的第一開口633a以及第二開口631a可以延伸穿過底層123。如此,在底層123留下的部分之間形成第一開口633b以及第二開口63b,且底層123留下的部分與形成於底層123中的截切MD轉移圖案(cut MD pattern transfers)635b形成一體。因此,底層123中的第一開口633b以及第二開口631b可具有與在第二中間層125中的第一開口以及第二開口大致相同(或者稍微較小)的尺寸。所形成的中間結構600b如第6C、6D圖所示,且如第6C圖所示之具有截切MD轉移圖案635b的中間結構600b具有和第一開口633b及第二開口631b之間的底層123留下的部分呈對比的一對比圖案。此截切MD轉移圖案635b的對比圖案僅是用以輔助在底層123中形成的圖案在視覺上的感知。
在一些實施例中,用來進行底層123圖案化的蝕刻製程可以包含使底層123相對於第二中間層125和硬質罩幕層117可具有高蝕刻選擇性的一種乾式蝕刻製程。例如,此蝕刻製程可包含電漿蝕刻製程。 在一些實施例中,電漿蝕刻製程可包含以約100 Watts至約800Watts之間的功率產生的電感耦合電漿(ICP),且可以在10 mTorr至100 mTorr範圍之間的壓力下進行。在一些實施例中,電漿蝕刻製程可使用Cl2 、HBr、一含氟製程氣體例如CF4 , CH2 F2 , CHF3 , 或其他類型的製程氣體。在一些實施例中,蝕刻方法可以選自一反應性離子蝕刻(RIE)製程(例如ICP或CCP),或類似製程。製程氣體可包含一或多種蝕刻氣體以及一或多種形成聚合物的氣體。根據一些實施例,例如,當底層123包含氧化矽,蝕刻氣體可包含一含氟氣體,例如CF4 、NF3 、或前述之組合。形成聚合物的氣體可包含CHF3 、CH2 F2 、CH3 F、C4 F6 、C4 F8 、或前述之組合。製程氣體的壓力可以是在5 mTorr至200 mTorr的範圍之間。在蝕刻第二中間層125期間,中間結構600a的溫度可在約0°C與約50°C之間的範圍之間。然而,其他實施例可以使用任何其他形成合適的製程氣體或蝕刻製程。在一些例子中,在第三蝕刻製程的蝕刻步驟期間,可能會耗損第二中間層125。當底層123的第一開口633b以及第二開口631b已經形成,可以移除第二中間層125留下的部分,而暴露出底層123留下的部分以及底層123的截切MD轉移圖案635b。
雖然所討論和繪示的實施例中,與對第二中間層125和底層123進行圖案化的第三蝕刻製程相關的內容是分別進行蝕刻步驟,但這些實施例並非是限制性的實施例。第三蝕刻製程的其他實施例可包含在單一蝕刻步驟中對第二中間層125和底層123一起圖案化。例如,在第三蝕刻製程中,相較於第一中間層127、截切MD光阻部分535和硬質罩幕層117,第二中間層125和底層123具有高蝕刻選擇性。如此,硬質罩幕層117在蝕刻第二中間層125和底層123並且以單一蝕刻步驟轉移第一中間層127以及截切MD光阻部分535的圖案至第二中間層125和底層123時,可作為一停止層。在一些實施例中,在單一蝕刻步驟中,可能會耗損第一中間層127和截切MD光阻部分535。當底層123圖案化後,可對圖案化的第二中間層125進行移除,以暴露出圖案化的底層123留下的部分。如此,圖案化的底層123可做為將底層123圖案轉移至硬質罩幕層117的罩幕。所有這些實施例都是本揭露保護範圍內的實施例。
第7A-7B圖繪示將底層123中第一開口631、第二開口633以及截切MD轉移圖案635轉移至硬質罩幕層117,以在硬質罩幕層117中形成第一開口731、第二開口733以及截切MD轉移圖案735。第7A-7B圖更示出硬質罩幕層117的圖案化,以在目標層115上方形成一截切罩幕。第7B圖中以虛線長方形標示之區域是表示對應於第7A圖中所示之第七中間結構700的上視圖750的部分。
如第7A圖所示,可進行第四蝕刻製程,以轉移底層123之圖案至硬質罩幕層117中,因而將第一開口631與第二開口633延伸穿過硬質罩幕層117。硬質罩幕層117的第四蝕刻製程可以是非等向性的,使得在底層123中的第一開口631與第二開口633延伸穿過硬質罩幕層117。如此,在底層123留下的部分之間形成第一開口731與第二開口733,且底層123留下的部分與硬質罩幕層117內的截切MD轉移圖案735形成一體。因此,硬質罩幕層117的第一開口731與第二開口733可具有與在底層123中的第一開口631與第二開口633大致相同(或者稍微較小)的尺寸。所形成的第七中間結構700如第7A、7B圖所示,且如第7A圖所示具有截切MD轉移圖案735的第七中間結構700是具有和硬質罩幕層117留下的部分之間的第一開口731及第二開口733之間的圖案呈對比的一對比圖案。此截切MD轉移圖案735的對比圖案僅是用以輔助在硬質罩幕層117中形成的圖案在視覺上的感知。
在蝕刻硬質罩幕層117期間,可能會消耗底層123。  在一些實施例中,當蝕刻硬質罩幕層117時圖案化的底層123未被完全地消耗時,可進行一灰化製程以移除底層123留下的殘留物。當底層123被移除,即可暴露出之前被底層123覆蓋的硬質罩幕層117的部分。之後,硬質罩幕層117可以作為對目標層115進行蝕刻的一蝕刻罩幕,使硬質罩幕層117的圖案轉移至目標層115。
如第7B圖所示,經由硬質罩幕層117的第一開口731及第二開口733所暴露出的目標層115的區域,可以定義出在目標層115中形成接觸件的區域。硬質罩幕層117暴露的部分可以定義出後續在目標層115中形成的接觸件之間的切口位置。圖案化後,硬質罩幕層117留下的部分可以作為下方的目標層115到半導體結構101的源極區105以及到汲極區107的一個蝕刻罩幕,以形成接觸開口(contact openings)。如此,在硬質罩幕層117中形成的蝕刻罩幕的第一開口731、第二開口733以及截切MD轉移圖案735,可以被轉移至目標層115中以及半導體結構101中,以形成接觸開口。
第8A-8B圖繪示根據一些實施例,以圖案化的硬質罩幕層117作為蝕刻罩幕,以將硬質罩幕層117中的第一開口731、第二開口733以及截切MD轉移圖案735轉移至目標層115、蝕刻停止層113以及內層介電層111中的第五蝕刻製程。第8A-8B圖更示出目標層115、蝕刻停止層113以及內層介電層111的圖案化,以在半導體結構101的源極/汲極區上方形成第一接觸開口831和第二接觸開口833。
雖然所討論和繪示的實施例中,與對目標層115、蝕刻停止層113以及內層介電層111進行圖案化的第五蝕刻製程相關的內容是進行單一蝕刻步驟,但這些實施例並非是限制性的實施例。第五蝕刻製程的其他實施例可包含以個別進行的蝕刻步驟對目標層115、蝕刻停止層113以及內層介電層111進行圖案化。所有這些實施例都是本揭露的實施例所保護的範圍內。
第五蝕刻製程可用來形成第一接觸開口831以及第二接觸開口833,在一些實施例中,第五蝕刻製程可能是一種乾式蝕刻製程,使用包含CF4 、 SO2 、含有HBr、Cl以及O2 的混合物、或者含有HBr、Cl2 、O2 以及CF2 的混合物等等的蝕刻氣體。在其他實施例中,用來形成第一接觸開口831以及第二接觸開口833的第五蝕刻製程可能是一種濕式蝕刻製程,其使用的蝕刻溶液例如包含氫氧化鉀(KOH)、四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、醋酸(CH3 COOH)、氫氧化銨(NH4 OH)、過氧化氫(H2 O2 )、 異丙醇(Isopropanol;IPA)、或含有氟化氫、硝酸以及水的溶液。然而,任何合適的蝕刻技術和任何合適的蝕刻溶液、或前述之組合,都可用來形成第一接觸開口831以及第二接觸開口833。
當第一接觸開口831以及第二接觸開口833已經形成,可以移除硬質罩幕層117留下的部分。以硬質罩幕層117形成地的罩幕可以是等向性的移除或非等向性的移除,而且可以使用濕式蝕刻製程或使用乾式蝕刻製程進行移除。然而,可以使用任何合適的蝕刻製程以移除硬質罩幕層117留下的部分。
如第8A圖所示,進行第五蝕刻製程以將硬質罩幕層117的圖案轉移至目標層115、蝕刻停止層113以及內層介電層111中,因而延伸硬質罩幕層117的第一開口731以及第二開口733穿過目標層115、蝕刻停止層113以及內層介電層111。如此,在目標層115、蝕刻停止層113以及內層介電層111留下的部分之間形成第一接觸開口831以及第二接觸開口833 ,且目標層115、蝕刻停止層113以及內層介電層111留下的部分是與位於目標層115、蝕刻停止層113以及內層介電層111中的截切MD轉移圖案835形成一體。所形成的第八中間結構800如第8A、8B圖所示,且如第8A圖所示的截切MD轉移圖案835是具有和在第一開口731及第二開口733之間的目標層115、蝕刻停止層113以及內層介電層111的留下的部分呈對比的一對比圖案。此截切MD轉移圖案835的對比圖案僅是用以輔助在目標層115、蝕刻停止層113以及內層介電層111中形成的圖案的視覺感知。
如第8A圖所示,第一接觸開口831以及第二接觸開口833是蝕刻至源極區105和汲極區107,以形成半導體結構101的源極/汲極接觸開口。第8B圖中以虛線長方形標示之區域是表示對應於第8A圖中所示之第八中間結構800的上視圖850的部分。在一實施例中,第一接觸開口831是形成於汲極區107的上方,第二接觸開口833是形成於源極區105的上方。
舉例而言,在目標層115中的第一接觸開口831以及第二接觸開口833可暴露出鰭式場效電晶體裝置的源極/汲極區,以形成鰭式場效電晶體裝置的源極/汲極接觸件(source/drain contacts),以及/或暴露出鰭式場效電晶體裝置的閘極,以形成鰭式場效電晶體裝置的閘極接觸件(gate contacts)。目標層115、蝕刻停止層113以及內層介電層111的蝕刻製程可以包含一非等向性的乾式蝕刻製程以及/或一濕式蝕刻製程。如第8A、8B圖所示之目標層115、蝕刻停止層113及內層介電層111的留下部分可具有與如第7A、7B圖所示之硬質罩幕層117大致相同(或者稍微較小)的圖案。因此,可以用單一圖案化步驟對目標層115、蝕刻停止層113及內層介電層111進行圖案化。在目標層115、蝕刻停止層113及內層介電層111進行圖案化而形成第一接觸開口831以及第二接觸開口833之後,可進行一濕式清潔製程以移除任何硬質罩幕層117留下的部分。
參照第9A-9B圖,在圖案化目標層115的汲極區107上方的第一接觸開口831中形成第一接觸件931,且在圖案化目標層115、圖案化蝕刻停止層113以及圖案化內層介電層111的源極區105上方的第二接觸開口833中形成第二接觸件933。在一實施例中,可以沿著目標層115的第一接觸開口831以及第二接觸開口833的側壁和底表面形成一或多個襯層(liners),且可在目標層115的上方形成一導電材料。在一些實施例中,在形成襯層之前,可在第一接觸開口831以及第二接觸開口833所暴露出的源極/汲極區中形成金屬矽化物區833。導電材料可以先沉積於襯層上方且可以溢出目標層115的第一接觸開口831以及第二接觸開口833。襯層可包含TiO、TiN、TaO、TaN、或其類似物,且可以為接觸件提供擴散阻障層、黏著層以及/或晶種層。可以用任何合適的製程,例如物理氣相沉積法化學氣相沉積、原子層沉積、或類似製程,以沉積襯層。導電材料可以是任何合適的一種導電材料,例如銅、鎢或其他導電材料,且可以用例如物理氣相沉積法、電鍍、或類似製程以進行沉積。
在實施例中,對第一中間層127、第二中間層125以及轉移至第一四層光阻121的底層123所進行的槽口MD圖案化(slot MD patterning)以及截切MD圖案化(cut MD patterning),如上述第1A-6B圖所示,可以是對第一四層光阻121進行的一種「切口後製」(“cut last”)的圖案化製程。如此,在第一四層光阻121的第一中間層127中的第一槽口131和第二槽口可具有低程度的線寬粗糙度(line width roughness;LWR),並且可具有極少或沒有扭曲(wiggling)(例如MD凹槽扭曲)的槽口輪廓,如上面第2A-4B圖之參考圖式所示。由於低程度的線寬粗糙度和極少或沒有扭曲的槽口輪廓,具有清晰定義的輪廓的槽口MD 圖案以及截切MD圖案係轉移至第一四層光阻121的底層123、硬質罩幕層117以及最終的目標層105中,如上面第5A-8B圖之參考圖式所示,如此可降低在接觸件之間形成金屬至源極/汲極與金屬閘極(MD-to-MG)橋接的風險。
在一些實施例中,文中敘述的圖案化方法可用來形成設置導電部件的第一接觸開口831以及第二接觸開口833,導電部件例如是形成在目標層105中的第一接觸件931以及第二接觸件933(例如接觸插塞)。如文中所述,可用一罩幕以定義形成接觸件的區域,然後用另一罩幕來定義形成接觸件的區域。由於切割區域的圖案化是在接觸區域的圖案化之後才進行,文中所述的圖案化方法可視為一「切口後製」製程。此與在進行接觸區域的圖案化之前先進行切割區域的圖案化的「切口先製」(“cut first”)製程形成對比。在一些例子中,可以形成具有一或多個切口的數個接觸件,且切口有相同或大致相同的尺寸,此可使得接觸件的電阻有更良好的控制。
在一些例子中,使用第一四層光阻121對目標層115進行蝕刻,可增進在目標層115中定義出具有精細節距的圖案。在一些實施例中,可以利用第一四層光阻121中的第二光阻層329的第二槽口圖案,以「切口後製」製程將第二槽口圖案轉移至第一四層光阻121中的第一中間層127。因此,形成於第一四層光阻121中的第一中間層127中的第二槽口331可以具有低程度的線寬粗糙度並且可具有極少或沒有扭曲(例如MD凹槽扭曲)的槽口輪廓,如第5A-8B圖所示。由於低程度的線寬粗糙度和槽口輪廓極少扭曲或沒有扭曲,如此可降低在接觸件之間形成MD-to-MG橋接的風險。再者,「切口後製」和四層光阻的設計可以與其他切口設計(例如氧化物切口(cut OD)、多晶矽切口(cut POLY)以及金屬切口)一起使用。
在一實施例中,一種半導體裝置的製造方法包括於一目標層的上方沉積一第一罩幕層;形成一四層光阻於第一罩幕層的上方;轉移四層光阻的一第一光阻層的一槽口圖案至四層光阻的一第一中間層中;形成並轉移槽口圖案至四層光阻的一底層中;轉移底層的槽口圖案以及切口圖案至第一罩幕層中;轉移底層的槽口圖案以及切口圖案至第一罩幕層中;以及使用第一罩幕層的槽口圖案以及切口圖案對目標層進行蝕刻,以在目標層中形成複數個接觸開口。在一實施例中,半導體裝置的製造方法包括更包括在轉移第一光阻層的槽口圖案至第一中間層之後,在第一中間層的上方沉積一第二光阻層;以及轉移第二光阻層的一第二槽口圖案至四層光阻的第一中間層中,第二槽口圖案與槽口圖案偏移重疊。在一實施例中,轉移四層光阻的第一光阻層的槽口圖案至四層光阻的第一中間層中更包含暴露四層光阻的一第二中間層的第一表面。在一實施例中,四層光阻的第一中間層包含一氮氧化矽材料。在一實施例中,四層光阻的第二中間層包含一金屬氧化物材料。在一實施例中,對第一中間層進行蝕刻所使用的一製程氣體包含:一含氟氣體選自CF4 、NF3 、以及前述之組合;以及一聚合物的氣體選自CHF3 、CH2 F2 、CH3 F、C4 F6 、C4 F8 、以及前述之組合。在一實施例中,目標層包含設置在一半導體結構的一內層介電層,半導體結構包含複數個半導體鰭片。在一實施例中,半導體裝置的製造方法更包括在這些接觸開口中填充一導電材料。
在一實施例中,一種半導體裝置的製造方法包括在設置於一半導體結構上方的一化合物光阻層的一第一中間層中,形成一系列的槽口;形成一圖案化的蝕刻罩幕,此蝕刻罩幕包括一第二光阻層中的複數個光阻截切島,這些光阻截切島設置在化合物光阻層的第一中間層中的此一系列的槽口的上方,這些光阻截切島跨過且填入在第一中間層中的一或多個此一系列的槽口的一部分;轉移此一系列的槽口以及此圖案化的蝕刻罩幕至化合物光阻層的一底層,以形成一截切罩幕;以及使用此截切罩幕形成複數個接觸開口,這些接觸開口穿過設置在半導體結構上方的一層間介電層,且這些接觸開口暴露出在此半導體結構中的裝置的源極和汲極區的部分。在一實施例中,形成此一系列的槽口於化合物光阻層的第一中間層中包含形成一第一系列的槽口於第一中間層中。在一實施例中,在形成第一系列的槽口之後,形成此一系列的槽口於化合物光阻層的第一中間層中包含形成一第二系列的槽口於第一中間層中,第二系列的槽口偏移於第一系列的槽口。在一實施例中,形成圖案化的蝕刻罩幕於化合物光阻層的底層中包含使用設置在化合物光阻層的底層與層間介電層之間的一硬質罩幕層,以作為化合物光阻層的底層的一蝕刻停止層。在一實施例中,硬質罩幕層的材料是選自由碳化鎢和氮化鈦所組成之群組的材料。在一實施例中,硬質罩幕層的第一表面與化合物光阻層的底層接觸,硬質罩幕層的第二表面與層間介電層接觸,硬質罩幕層的第二表面相對於硬質罩幕層的第一表面。在一實施例中,形成此一系列的槽口於化合物光阻層的第一中間層中,包含使用化合物光阻層的第二中間層做為化合物光阻層的底層上方的一停止層。
在一實施例中,一種鰭式場效電晶體裝置的接觸插塞的製造方法包括在一半導體結構的上方沉積一硬質罩幕層;在硬質罩幕層的上方形成一四層光阻層;在四層光阻層的一第一中間層中蝕刻出一系列的槽口;在第一中間層的留下部分的上方形成一圖案化的光阻罩幕;轉移圖案化的光阻罩幕和此一系列的槽口至四層光阻層的一底層中;使用底層中的圖案化的光阻罩幕和系列的槽口,以在硬質罩幕層中形成一截切幕層;蝕刻出接觸開口,這些接觸開口穿過一層間介電層且到達在半導體結構中的這些鰭式場效電晶體裝置的源極區和汲極區的表面;以及沉積一導電材料於這些接觸開口中,以形成這些鰭式場效電晶體裝置的接觸插塞。在一實施例中,於此半導體結構的上方沉積硬質罩幕層包括沉積此硬質罩幕層與層間介電層接觸。在一實施例中,在硬質罩幕層的上方形成四層光阻層包括沉積此四層光阻層的一底層於硬質罩幕層的第二表面的上方,且底層與第二表面接觸。在一實施例中,形成此圖案化的光阻罩幕包括形成複數個光阻截切島於兩個或更多個第一中間層留下的部分的上方並接觸這些留下的部分,這些光阻截切島在位於第一中間層這些留下的部分之間的一個或多個此一系列的槽口的上方延伸。在一實施例中,半導體裝置的製造方法更包括在此圖案化的光阻罩幕和此一系列的槽口至底層中之前,使用四層光阻層的一第二中間層做為蝕刻第一中間層中的此一系列的槽口的一蝕刻停止層。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:第一中間結構 101:半導體結構 103:基底 104:半導體鰭片 105:汲極區 107:源極區 109:閘極區 111:內層介電層 113:蝕刻停止層 115:目標層 117:硬質罩幕層 121:第一四層光阻 123:底層 125:第二中間層 127:第一中間層 129:第一光阻層 131:第一槽口 200:第二中間結構 150、250、350、450、550、650a、650b、750、850、950:上視圖 300:第三中間結構 321:第二四層光阻 329:第二光阻層 331:第二槽口 400:第四中間結構 500:第五中間結構 521:第三四層光阻 529:第三光阻層 535、635a、635b:截切MD光阻部分 600a、600b:第六中間結構 631、633a、633b、731:第一開口 633、631a、631b、733:第二開口 700:第七中間結構 735、835:截切MD轉移圖案 831:第一接觸開口 833:第二接觸開口 800:第八中間結構 931:第一接觸件 933:第二接觸件 Th100、Th101、Th103、Th111、Th113、Th115、Th117、Th121、Th123、Th125、Th127、Th129、Th329、Th529:厚度 W1131、W1331、W1535:第一寬度 W2131、W2331、W2535:第二寬度 L1535:第一長度 L2535:第二長度 D1131:第一距離 D2331:第二距離 D3331:第三距離 D4331:第四距離
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1A-9B圖繪示根據本發明一些實施例,在製造鰭式場效電晶體裝置的期間在一目標層結構中形成接觸開口以及相應的接觸插塞的中間階段的示意圖。其中標示“A”的圖式代表根據本發明一些實施例,自形成接觸開口的中間階段的各個中間結構的立體視圖。其中標示“B”的圖式代表根據本發明一些實施例中,此些中間結構的上視圖。 第1A-1B圖繪示根據一些實施例,對一四層光阻的一第一光阻層進行圖案化的示意圖。 第2A-2B圖繪示根據一些實施例,對四層光阻的一第一中間層進行第一圖案化的示意圖。 第3A-3B圖繪示根據一些實施例,對四層光阻的一第二光阻層進行沉積和圖案化的示意圖。 第4A-4B圖繪示繪示根據一些實施例,對四層光阻的第一中間層進行第二圖案化的示意圖。 第5A-5B圖繪示根據一些實施例,對於在四層光阻的一第二中間層上方的第二光阻層進行沉積和圖案化的示意圖。 第6A-6D圖繪示根據一些實施例,將第二光阻層的圖案轉移至四層光阻的一底層,以及對四層光阻的第二中間層和底層進行圖案化的示意圖。 第7A-7B圖繪示根據一些實施例, 將底層的圖案轉移至四層光阻的一硬質罩幕層,以及對硬質罩幕層進行圖案化的示意圖。 第8A-8B圖繪示根據一些實施例,將硬質罩幕層的圖案轉移至一目標層,以及對目標層進行圖案化的示意圖。 第9A-9B圖繪示根據一些實施例,在圖案化的目標層的源極/汲極區上方形成導電插塞的示意圖。
101:半導體結構
103:基底
104:半導體鰭片
105:汲極區
107:源極區
109:閘極區
111:內層介電層
113:蝕刻停止層
115:目標層
800:第八中間結構
831:第一接觸開口
833:第二接觸開口
835:截切MD轉移圖案

Claims (10)

  1. 一種半導體裝置的製造方法,包括:於一目標層的上方沉積一第一罩幕層;形成一四層罩幕層於該第一罩幕層的上方;轉移該四層罩幕層的一第一光阻層的一槽口圖案至該四層罩幕層的一第一中間層中;形成一蝕刻罩幕光阻層的一切口圖案於該第一中間層的該槽口圖案的上方;轉移該槽口圖案至該四層罩幕層的一底層中;轉移該底層的該槽口圖案以及該切口圖案至該第一罩幕層中;以及使用該第一罩幕層的該槽口圖案以及該切口圖案對該目標層進行蝕刻,以在該目標層中形成複數個接觸開口。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括:在轉移該第一光阻層的該槽口圖案至該第一中間層之後,在該第一中間層的上方沉積一第二光阻層;以及轉移該第二光阻層的一第二槽口圖案至該四層罩幕層的該第一中間層中,該第二槽口圖案與該槽口圖案偏移重疊。
  3. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中轉移該四層罩幕層的該第一光阻層的該槽口圖案至該四層罩幕層的該第一中間層中更包含暴露該四層罩幕層的一第二中間層的第一表面。
  4. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中該四層罩幕層的該第一中間層包含一氮氧化矽材料,該四層罩幕層的該第二中間層包含一金屬氧化物材料。
  5. 如申請專利範圍第1-4項任一項所述之半導體裝置的製造方法, 其中該目標層包含設置在一半導體結構的一內層介電層,該半導體結構包含複數個半導體鰭片。
  6. 如申請專利範圍第1-4項任一項所述之半導體裝置的製造方法,更包括在該些接觸開口中填充一導電材料。
  7. 一種半導體裝置的製造方法,包括:在設置於一半導體結構上方的一多層罩幕層的一第一中間層中,形成一系列的槽口;形成一圖案化的蝕刻罩幕,該蝕刻罩幕包括一第二光阻層中的複數個光阻截切島,該些光阻截切島設置在該多層罩幕層的該第一中間層中的該系列的槽口的上方,且該些光阻截切島跨過且填入在該第一中間層中的一或多個該系列的槽口的一部分;轉移該系列的槽口以及該圖案化的蝕刻罩幕至該多層罩幕層的一底層,以形成一截切罩幕;以及使用該截切罩幕形成複數個接觸開口,該些接觸開口穿過設置在該半導體結構上方的一層間介電層,且該些接觸開口暴露出在該半導體結構中的裝置的源極和汲極區的部分。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中形成該系列的槽口於該多層罩幕層的該第一中間層中包含形成一第一系列的槽口於該第一中間層中;以及在形成該第一系列的槽口之後,形成一第二系列的槽口於該第一中間層中,該第二系列的槽口偏移於該第一系列的槽口。
  9. 如申請專利範圍第7-8項任一項所述之半導體裝置的製造方法,其中形成該圖案化的蝕刻罩幕於該多層罩幕層的該底層中包含使用設置在該多層罩幕層的該底層與該層間介電層之間的一硬質罩幕層,作為該多層罩幕層的 該底層的一蝕刻停止層。
  10. 一種鰭式場效電晶體裝置的接觸插塞的製造方法,包括:在一半導體結構的上方沉積一硬質罩幕層;在該硬質罩幕層的上方形成一四層罩幕層;在該四層罩幕層的一第一中間層中蝕刻出一系列的槽口;在該第一中間層的留下部分的上方形成一圖案化的光阻罩幕;轉移該圖案化的光阻罩幕和該系列的槽口至該四層罩幕層的一底層中;使用該底層中的該圖案化的光阻罩幕和該系列的槽口,以在該硬質罩幕層中形成一截切幕層;蝕刻出接觸開口,該些接觸開口穿過一層間介電層且到達在該半導體結構中的該些鰭式場效電晶體裝置的源極區和汲極區的表面;以及沉積一導電材料於該些接觸開口中,以形成該些鰭式場效電晶體裝置的接觸插塞。
TW108136149A 2018-10-31 2019-10-05 半導體裝置的製造方法及其接觸插塞的製造方法 TWI728493B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753733P 2018-10-31 2018-10-31
US62/753,733 2018-10-31
US16/208,213 US11121026B2 (en) 2018-10-31 2018-12-03 Semiconductor device and method of manufacture
US16/208,213 2018-12-03

Publications (2)

Publication Number Publication Date
TW202018763A TW202018763A (zh) 2020-05-16
TWI728493B true TWI728493B (zh) 2021-05-21

Family

ID=70328361

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136149A TWI728493B (zh) 2018-10-31 2019-10-05 半導體裝置的製造方法及其接觸插塞的製造方法

Country Status (3)

Country Link
US (1) US11121026B2 (zh)
CN (1) CN111128691B (zh)
TW (1) TWI728493B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
TWI824387B (zh) * 2022-01-19 2023-12-01 華邦電子股份有限公司 半導體記憶體結構的形成方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7550773B2 (en) * 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
US20160103396A1 (en) * 2014-10-13 2016-04-14 United Microelectronics Corp. Double patterning method
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
TW201701475A (zh) * 2015-06-23 2017-01-01 聯華電子股份有限公司 半導體結構及製程
TWI574309B (zh) * 2014-02-12 2017-03-11 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法
TWI587479B (zh) * 2011-12-28 2017-06-11 英特爾公司 具有多個電晶體之裝置及其製造方法
TW201826336A (zh) * 2016-08-03 2018-07-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735295B2 (en) * 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9076736B2 (en) * 2013-03-14 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device fabrication
US9406511B2 (en) * 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
US9536826B1 (en) * 2015-06-15 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with interconnect structure

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787469B2 (en) * 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7550773B2 (en) * 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
TWI587479B (zh) * 2011-12-28 2017-06-11 英特爾公司 具有多個電晶體之裝置及其製造方法
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
TWI574309B (zh) * 2014-02-12 2017-03-11 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法
US20160103396A1 (en) * 2014-10-13 2016-04-14 United Microelectronics Corp. Double patterning method
TW201701475A (zh) * 2015-06-23 2017-01-01 聯華電子股份有限公司 半導體結構及製程
TW201826336A (zh) * 2016-08-03 2018-07-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
US11121026B2 (en) 2021-09-14
CN111128691B (zh) 2022-09-30
US20200135547A1 (en) 2020-04-30
CN111128691A (zh) 2020-05-08
TW202018763A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
KR102102735B1 (ko) 다중 패터닝 방법
TWI697996B (zh) 半導體裝置及其製造方法
CN106373880B (zh) 半导体元件及其形成方法
TW201913755A (zh) 半導體裝置及其形成方法
TWI720429B (zh) 半導體裝置之製造方法
TW201914025A (zh) 半導體裝置與其製作方法
KR20180121327A (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
TW202017109A (zh) 積體電路裝置及其形成方法
TWI740222B (zh) 半導體裝置的形成方法
KR101706427B1 (ko) 게이트 보호 캡 및 그 형성 방법
TWI728493B (zh) 半導體裝置的製造方法及其接觸插塞的製造方法
US9620369B2 (en) Method for fabricating semiconductor device to integrate transistor with passive device
TWI651764B (zh) 用以形成交叉耦接接觸之裝置及方法
CN109494149B (zh) 半导体结构的制作方法
TWI829013B (zh) 形成半導體裝置的方法
TWI780581B (zh) 半導體元件的形成方法
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
KR20210043507A (ko) 반도체 FinFET 디바이스 및 방법
CN115831859A (zh) 制造半导体器件的方法
KR20200036772A (ko) 반도체 디바이스를 형성하는 방법