CN111128691A - 半导体装置的制造方法及其接触插塞的制造方法 - Google Patents

半导体装置的制造方法及其接触插塞的制造方法 Download PDF

Info

Publication number
CN111128691A
CN111128691A CN201911046113.4A CN201911046113A CN111128691A CN 111128691 A CN111128691 A CN 111128691A CN 201911046113 A CN201911046113 A CN 201911046113A CN 111128691 A CN111128691 A CN 111128691A
Authority
CN
China
Prior art keywords
layer
photoresist
intermediate layer
mask
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911046113.4A
Other languages
English (en)
Other versions
CN111128691B (zh
Inventor
黄玉莲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111128691A publication Critical patent/CN111128691A/zh
Application granted granted Critical
Publication of CN111128691B publication Critical patent/CN111128691B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

半导体装置的目标层中的导电接触件的开口的图案化方法,以及形成导电接触件的方法。此开口的图案化方法可用以形成在一半导体结构的一层间介电层中的接触开口,以设置连接至鳍式场效晶体管装置的源极/漏极区的接触件。通过光学刻蚀技术将一四层光阻的一第一中间层的槽口以及在四层光阻的第一中间层上方的一光阻层的一截切MD图案进行图案转移,以对一硬质掩模层图案化,而形成一截切幕层。当截切幕层形成之后,在一层间介电层中形成接触开口至半导体结构的鳍式场效晶体管装置的源极/漏极区。可填充导电材料于这些接触开口中,而定义出导电接触件(例如导电插塞)。

Description

半导体装置的制造方法及其接触插塞的制造方法
技术领域
本公开实施例内容是有关于一种半导体装置及其制造方法,特别是有关于一种以四层光阻对目标层进行图案化的半导体装置及其制造方法。
背景技术
随着半导体装置的尺寸不断缩小,各种制程技术(例如光学刻蚀)也相应的调整,以使得制造的装置具有愈来愈小的尺寸。例如,随着栅极密度的增加,制造装置中各种部件(例如,在上方的互连部件)的制程也适应性的调整,使这些制程可整体上相容于缩小的装置部件。然而,由于半导体制程的制程窗口不断的缩小,此些装置的制造已经接近且甚至超过光学刻蚀设备的理论极限。随着半导体装置不断缩小,装置的元件之间所需要的间隔(亦即,节距)比起可使用传统光学掩模及光学刻蚀设备制造的节距要来得更小。
发明内容
本公开的一些实施例提供一种半导体装置的制造方法。此制造方法包括在一目标层的上方沉积一第一掩模层,以及形成一四层光阻于第一掩模层的上方。一些实施例中,半导体装置的制造方法亦包括转移四层光阻的一第一光阻层的一槽口图案至四层光阻的一第一中间层中,以及形成并转移槽口图案至四层光阻的一底层中。一些实施例中,半导体装置的制造方法还包括转移底层的槽口图案以及切口图案至第一掩模层中,转移底层的槽口图案以及切口图案至第一掩模层中。一些实施例中,半导体装置的制造方法还包括使用第一掩模层的槽口图案以及切口图案对目标层进行蚀刻,以在目标层中形成多个接触开口。
本公开的一些实施例又提供一种半导体装置的制造方法。此制造方法包括在设置于一半导体结构上方的一化合物光阻层的一第一中间层中,形成一系列的槽口。一些实施例中,半导体装置的制造方法还包括形成一图案化的蚀刻掩模,此蚀刻掩模包括一第二光阻层中的多个光阻截切岛,这些光阻截切岛设置在化合物光阻层的第一中间层中的此一系列的槽口的上方,且这些光阻截切岛跨过且填入在第一中间层中的一或多个此一系列的槽口的一部分。一些实施例中,半导体装置的制造方法亦包括转移此一系列的槽口以及此图案化的蚀刻掩模至化合物光阻层的一底层,以形成一截切掩模。一些实施例中,半导体装置的制造方法还包括使用此截切掩模形成多个接触开口,这些接触开口穿过设置在半导体结构上方的一层间介电层,且这些接触开口暴露出在此半导体结构中的装置的源极和漏极区的部分。
本公开的一些实施例还提供一种鳍式场效晶体管装置的接触插塞的制造方法,包括在一半导体结构的上方沉积一硬质掩模层;以及在硬质掩模层的上方形成一四层光阻层。一些实施例中,此制造方法还包括在四层光阻层的一第一中间层中蚀刻出一系列的槽口;以及在第一中间层的留下部分的上方形成一图案化的光阻掩模。一些实施例中,此制造方法亦包括转移图案化的光阻掩模和此一系列的槽口至四层光阻层的一底层中。一些实施例中,此制造方法还包括使用底层中的图案化的光阻掩模和系列的槽口,以在硬质掩模层中形成一截切幕层。一些实施例中,此制造方法又包括蚀刻出接触开口,这些接触开口穿过一层间介电层且到达在半导体结构中的这些鳍式场效晶体管装置的源极区和漏极区的表面。一些实施例中,此制造方法还包括沉积一导电材料于这些接触开口中,以形成这些鳍式场效晶体管装置的接触插塞。
附图说明
通过以下的详细描述配合所附附图,可以更加理解本公开实施例的内容。需强调的是,根据产业上的标准惯例,许多部件(feature)并未按照比例绘制。事实上,为了能清楚地讨论,各种部件的尺寸可能被任意地增加或减少。
图1A-9B绘示根据本公开一些实施例,在制造鳍式场效晶体管装置的期间在一目标层结构中形成接触开口以及相应的接触插塞的中间阶段的示意图。其中标示“A”的附图代表根据本公开一些实施例,自形成接触开口的中间阶段的各个中间结构的立体视图。其中标示“B”的附图代表根据本公开一些实施例中,此些中间结构的上视图。
图1A-1B绘示根据一些实施例,对一四层光阻的一第一光阻层进行图案化的示意图。
图2A-2B绘示根据一些实施例,对四层光阻的一第一中间层进行第一图案化的示意图。
图3A-3B绘示根据一些实施例,对四层光阻的一第二光阻层进行沉积和图案化的示意图。
图4A-4B绘示绘示根据一些实施例,对四层光阻的第一中间层进行第二图案化的示意图。
图5A-5B绘示根据一些实施例,对于在四层光阻的一第二中间层上方的第二光阻层进行沉积和图案化的示意图。
图6A-6D绘示根据一些实施例,将第二光阻层的图案转移至四层光阻的一底层,以及对四层光阻的第二中间层和底层进行图案化的示意图。
图7A-7B绘示根据一些实施例,将底层的图案转移至四层光阻的一硬质掩模层,以及对硬质掩模层进行图案化的示意图。
图8A-8B绘示根据一些实施例,将硬质掩模层的图案转移至一目标层,以及对目标层进行图案化的示意图。
图9A-9B绘示根据一些实施例,在图案化的目标层的源极/漏极区上方形成导电插塞的示意图。
【符号说明】
100~第一中间结构;
101~半导体结构;
103~基底;
104~半导体鳍片;
105~漏极区;
107~源极区;
109~栅极区;
111~内层介电层;
113~蚀刻停止层;
115~目标层;
117~硬质掩模层;
121~第一四层光阻;
123~底层;
125~第二中间层;
127~第一中间层;
129~第一光阻层;
131~第一槽口;
200~第二中间结构;
150、250、350、450、550、650a、650b、750、850、950~上视图;
300~第三中间结构;
321~第二四层光阻;
329~第二光阻层;
331~第二槽口;
400~第四中间结构;
500~第五中间结构;
521~第三四层光阻;
529~第三光阻层;
535、635a、635b~截切MD光阻部分;
600a、600b~第六中间结构;
631、633a、633b、731~第一开口;
633、631a、631b、733~第二开口;
700~第七中间结构;
735、835~截切MD转移图案;
831~第一接触开口;
833~第二接触开口;
800~第八中间结构;
931~第一接触件;
933~第二接触件;
Th100、Th101、Th103、Th111、Th113、Th115、Th117、Th121、Th123、Th125、Th127、Th129、Th329、Th529~厚度;
W1131、W1331、W1535~第一宽度;
W2131、W2331、W2535~第二宽度;
L1535~第一长度;
L2535~第二长度;
D1131~第一距离;
D2331~第二距离;
D3331~第三距离;
D4331~第四距离。
具体实施方式
以下内容提供了很多不同的实施例或范例,用于实现本公开实施例的不同部件。组件和配置的具体范例描述如下,以简化本公开实施例。当然,这些仅仅是范例,并非用以限定本公开实施例。举例来说,叙述中若提及一第一部件形成于一第二部件的上方或位于其上,可能包含第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不直接接触的实施例。另外,本公开实施例可能在许多范例中重复元件符号及/或字母。这些重复是为了简化和清楚的目的,其本身并非代表所讨论各种实施例及/或配置之间有特定的关系。
此外,此处可能使用空间上的相关用语,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他类似的用语可用于此,以便描述如图所示的一元件或部件与其他元件或部件之间的关系。此空间上的相关用语除了包含附图绘示的方位外,也包含使用或操作中的装置的不同方位。装置可以被转至其他方位(旋转90度或其他方位),则在此所使用的空间相对描述可同样依旋转后的方位来解读。
根据一些实施例,提出半导体装置以及半导体装置的制造方法。在一些实施例中,进行一图案化制程,以在半导体装置的一目标层(target layer)中图案化出用来形成导电部件的开口。例如,可经由图案化制程形成可连接至晶体管装置的接触件的开口,例如形成源极/漏极接触件(source/drain contacts)或是栅极接触件(gate)的开口。在一实施例中,目标层可以是低介电常数介电层(low-k dielectric layer)或氧化层,并使用一硬质掩模层形成前述开口。根据一些实施例,使用光学刻蚀和一图案化的四层光阻设计可将一图案转移至硬质掩模层。随后,可在目标层的开口中填充导电材料以定义出导电部件,且导电部件具有以第二介电层定义的切口(cuts)。一些的导电部件可具有精细节距(finepitch),或者一些的切口可具有精细节距。
图1A-1B绘示根据本公开一些实施例,由形成半导体结构101的接触件的制程的一个中间步骤所制得的第一中间结构(first intermediate structure)100的示意图。
在一实施例中,半导体结构101可包含具有半导体鳍片104的一基底103、形成于基底103内的源极区105和漏极区107、以及具有栅极区109于其中的一内层介电层111。在一实施例中,源极区105、漏极区107和栅极区109可以在半导体结构101中形成多个鳍式场效晶体管(FinFET)。半导体结构101可以是由一半导体材料例如硅、掺杂或未掺杂的、或者一绝缘层上覆半导体(Semiconductor-On-Insulator;SOI)基底的主动层所制得。半导体结构101可包含其他半导体材料,例如锗;一化合物半导体,包含碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;一合金半导体,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述的组合。亦可使用其他基底,例如多层基底或渐变基底。在一实施例中,基底103可具有在约100nm至约200nm范围之间的厚度Th103,例如约150nm,且内层介电层111可沉积至约10nm至约50nm范围之间的总厚度Th111。然而,基底103和内层介电层111可以具有任何合适的厚度。因此,半导体结构101的总厚度Th101可以在约110nm至约250nm范围之间,例如约170nm。
图1A更示出在半导体结构101的上方选择性设置的一蚀刻停止层113,且此蚀刻停止层113物理性接触半导体结构101。在一实施例中,可在半导体结构101中的一个或多个鳍式场效晶体管装置的鳍片、源极区105、漏极区107和栅极区109的上方形成蚀刻停止层113。在一些实施例中,蚀刻停止层113可由氮化硅、SiON,SiCON,SiC,SiOC,SiCxNy,SiOx、其他介电质、前述的组合、或前述的类似物所形成,而且可通过等离子体辅助化学气相沉积(plasma enhanced chemical vapor deposition;PECVD)、低压化学气相沉积(lowpressure CVD;LPCVD)、物理气相沉积法(physical vapor deposition;PVD)、或类似方法而形成。然而,可以使用任何合适的材料和制程形成蚀刻停止层113。在一实施例中,蚀刻停止层113可沉积至约2nm至约10nm范围之间的总厚度Th113,例如约5nm。然而,形成的蚀刻停止层113可以具有任何合适的厚度。
根据一实施例,图1A更示出直接设置在蚀刻停止层113的顶部的上方的一目标层115。在随后对目标层115进行蚀刻制程的期间,蚀刻停止层113可作为蚀刻制程的一停止层。因此,可根据和配合用于形成目标层115所选择的材料,而选择用于形成蚀刻停止层113的材料和制程。在一些实施例中,目标层115是一介电层,例如是一层间介电(ILD0)层,且可由一氧化物例如磷硅玻璃(Phospho-Silicate Glass;PSG)、硼硅酸玻璃(Boro-SilicateGlass;BSG)、硼磷硅玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、非掺杂的硅玻璃(undoped Silicate Glass;USG)、四乙氧基硅烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、或其类似物质而形成。而形成方法例如包含化学气相沉积法(CVD)、流动式化学气相沉积法(FCVD)、旋转涂布、或类似方法。然而,可以使用其他任何合适的材料和制程形成目标层115。在一实施例中,目标层115可以沉积至约50nm至约150nm范围之间的总厚度Th115,例如约80nm。然而,形成的目标层115可以具有任何合适的厚度。
虽然图1A示出目标层115与蚀刻停止层113物理性的接触,可以在目标层115和蚀刻停止层113之间设置任何数量的中间层(intervening layers)。这些中间层可包含其他层间介电层,且这些中间层中可具有接触插塞(contact plugs)、导线、以及/或导孔,或者可包含一或多个调节层(例如蚀刻停止层、黏着层、等等)、前述的组合、和其类似物。
可在目标层115的上方形成一硬质掩模层117。硬质掩模层117可由包含一金属(例如氮化钛、钛、氮化钽、钽、掺杂金属的碳化物(例如碳化钨)、或其类似物)以及/或一类金属(例如氮化硅、氮化硼、碳化硅、或其类似物)的材料所制得。在一些实施例中,相较于其他材料层例如目标层115,或者相较于后续形成的材料层,可以使硬质掩模层117具有更高的蚀刻选择性来决定硬质掩模层117的材料组成。硬质掩模层117可由例如化学气相沉积(CVD)、原子层沉积(ALD)、或类似制程而形成。然而,可以使用其他任何合适的材料和制程形成硬质掩模层117。在一些实施例中,硬质掩模层117可具有在约20nm至约50nm范围之间的厚度Th117,虽然其他实施例中,硬质掩模层117也可以具有其他合适的厚度。
图1A更示出形成于硬质掩模层117的上方的第一四层光阻(first tetra-layerphotoresist)121。在一实施例中,第一四层光阻121包含多个掩模层,其可以是使用例如旋转涂布而毯覆式地依序进行沉积。在其他实施例中,可使用例如化学气相沉积法(CVD)、原子层沉积(ALD)、或类似的制程,而沉积一或多个掩模层。然而,可以使用其他任何合适的制程和任何合适的制程组合沉积第一四层光阻121的掩模层。在一实施例中,第一四层光阻121可以沉积至约120nm至约500nm范围之间的总厚度Th121,例如约210nm。然而,形成的第一四层光阻121可以具有任何合适的厚度。
再参照图1A,根据一实施例,第一四层光阻121可包含一底层123形成于硬质掩模层117的上方。在一些实施例中,底层123可以由聚合物制得。在一实施例中,底层123可以是一底部抗反射涂层(bottom anti-reflective coating layer;BARC layer)。根据一实施例,底层123可以包含例如CxHyOz的材料,且使用一旋转涂布制程而制得。然而,可以使用其他任何合适的材料和任何合适的制程形成底层123。在一实施例中,底层123可以沉积至约50nm至约200nm范围之间的总厚度Th123,例如约150nm。然而,形成的底层123可以具有任何合适的厚度。
第一四层光阻121更包含第二中间层125形成于底层123的上方。第二中间层125可以包含材料例如SixHyCzOw、硅或金属氧化物,且使用一或多个沉积制程例如旋转涂布、化学气相沉积法、原子层沉积、或类似方法而制得。然而,可以使用其他任何合适的材料和制程形成第二中间层125。在一些实施例中,相较于其他材料层,例如底层123以及/或硬质掩模层117或者在对第二中间层125图案化时的其他可提供蚀刻停止能力的材料层,可以使第二中间层125比前述其他材料层具有更高的蚀刻选择性,而决定第二中间层125的材料组成。第二中间层125可包含超过一层的材料层,且可包括超过一种材料。在一些实施例中,第二中间层125可具有约20nm至约50nm范围之间的总厚度Th125,例如约30nm。然而,形成的第二中间层125可以具有任何合适的厚度。
第一四层光阻121更包含第一中间层127形成于第二中间层125的上方。可使用一或多个沉积制程,例如旋转涂布、化学气相沉积法、原子层沉积、或类似方法,而制得第一中间层127。然而,可以使用其他任何合适的材料和制程形成第一中间层127。在一些实施例中,在一些实施例中,相较于其他材料层,例如底层123、第二中间层125或者在对第一中间层127图案化时的其他可提供蚀刻停止能力的材料层,可以使第一中间层127比前述其他材料层具有更高的蚀刻选择性,而决定第一中间层127的材料组成。第一中间层127可包含一无机材料,其可以是氮化物(例如氮化硅)、氮氧化物(例如氮氧化硅)、氧化物(例如氧化硅)、或类似物。然而,可以使用其他任何合适的材料和任何合适的制程形成第一中间层127。再者,第一中间层127可包含超过一层的材料层,且可包括超过一种材料。在一些实施例中,第一中间层127具有约20nm至约50nm范围之间的总厚度Th127,例如约30nm。然而,形成的第一中间层127可以具有任何合适的厚度。
第一四层光阻121更包含第一光阻层129形成于第一中间层127的上方。第一光阻层129可以由光阻(例如一光敏材料)制成,其可包含有机材料,且可以是一正型光敏材料(positive photosensitive material)或一负型光敏材料(negative photosensitivematerial)。可使用一或多个沉积制程,例如旋转涂布、化学气相沉积法、原子层沉积、或类似方法,而制得第一光阻层129。在一些实施例中,第一光阻层129具有约30nm至约200nm范围之间的总厚度Th129,例如约100nm。然而,形成的第一光阻层129可以具有任何合适的厚度。因此,根据一些实施例,第一中间结构100可具有约312nm至约1460nm范围之间的厚度Th100,例如约615nm。然而,形成的第一中间结构100可以具有任何合适的厚度。
图1A-1B更示出图案化的第一光阻层129(例如使用光学刻蚀掩模和蚀刻制程)。沉积之后,可以对第一光阻层129进行图案化,以在第一光阻层129中形成多个第一槽口(first slot openings)131。在一实施例中,在第一光阻层129中的第一槽口131可具有在约40nm至约60nm范围之间的第一宽度W1131,例如约45nm。然而,第一槽口131可以具有任何合适的宽度。在一些实施例中,在第一光阻层129中的第一槽口131可以具有不同的宽度W1131。在一些实施例中,单一个第一槽口131可能具有一个以上的宽度。
如图1B所示的第一中间结构100的上视图150,通过图案化的第一光阻层129,一系列的第一槽口131暴露出第一中间层127的表面区域。因此,第一光阻层129留下的部分可做为第一中间层127上方的掩模层。
图2A-2B绘示将如图1A所示的第一光阻层129的图案转移至第一中间层127的第一蚀刻制程。相较于第一光阻层129和第二中间层125,第一中间层127具有高蚀刻选择性。在一实施例中,第一光阻层129作为第一中间层127的第一图案的蚀刻掩模。此蚀刻制程可以是非等向性的,使得在第一光阻层129中的第一槽口131可以延伸穿过第一中间层127。进行第一中间层127图案化的蚀刻方法可选自于反应性离子蚀刻(RIE),其可使用电感耦合等离子体(Inductively Coupled Plasma,ICP)、电容耦合等离子体(Capacitively CouplingPlasma,CCP)、或类似等离子体而进行蚀刻。制程气体可以包含一或多种蚀刻气体以及一或多种形成聚合物的气体。根据一些实施例,蚀刻气体可包含一种含氟气体,例如CF4、NF3、或前述的组合。形成聚合物的气体可包含CHF3,CH2F2,CH3F,C4F6,C4F8、或前述的组合。制程气体的压力可以是在5mTorr至200mTorr的范围之间。晶片的温度可在约0℃与约50℃之间的范围之间。根据一实施例,在第一中间层127中的第一槽口131可具有第二宽度W2131。在一实施例中,在第一中间层127中的第一槽口131可具有与在第一光阻层129中的第一槽口大致相同(或者稍微较小)的尺寸。在其他实施例中,例如,由于临界尺寸(critical dimension)的缩减,在第一中间层127中的第二宽度W2131可不同于在第一光阻层129中的第一宽度W1131。根据一实施例,在第一中间层127中的第一槽口131的第二宽度W2131可以是在约6nm至12nm范围之间,例如约9nm。然而,第一槽口131可以具有任何合适的宽度。如图2B所示的第二中间结构200的上视图250,通过留下的第一中间层127的部分,一系列的第一槽口131暴露出第二中间层125的表面区域。
当第一光阻层129的图案被转移至第一中间层127,在第一光阻层129中的第一槽口131的尺寸和特性也被转移至第一中间层127中第一槽口131的尺寸和特性。因此,在一些实施例中,依据在第一光阻层129中的第一槽口131的尺寸和特性,在第一中间层127中的第一槽口131的第二宽度W2131可能彼此并不相同。在一些实施例中,第一中间层127中的单一个第一槽口131可能具有一个以上的宽度。当已在第一中间层127中形成第一槽口131,可以移除任何第一光阻层129留下的部分,而暴露出第一中间层127留下的部分。
图3A-3B绘示沉积第二光阻层329于图案化的第一中间层127的上方且填入第一槽口131,第二光阻层329并位于第二中间层125暴露于第一中间层127中的第一槽口131之间的部分的上方。因此,底层123、第二中间层125、第一中间层127以及第二光阻层329合称为第二四层光阻(second tetra-layer photoresist)321。在一些实施例中,用来沉积第二光阻层329的材料和制程可以与上述用来沉积第一光阻层129和形成第一槽口131的材料和制程相同。例如,可使用旋转涂布制程或类似方法沉积一光敏材料,以做为图案化的第一中间层127的上方的第二光阻层329。然而,可以使用其他任何合适的材料和任何合适的制程以沉积第二光阻层329。
在一些实施例中,第二光阻层329可具有与第一光阻层129相同的厚度。在其他实施例中,第二光阻层329可具有与第一光阻层129不同的厚度。在一实施例中,第二光阻层329具有约50nm至约200nm范围之间的总厚度Th329,例如约100nm。然而,形成的第二光阻层329可以具有任何合适的厚度。
图3A-3B更示出图案化的第二光阻层329。在一实施例中,具有第二槽口(secondslot openings)331的图案化的第二光阻层329与图案化的第一光阻层129偏移重叠。在一些实施例中,用来形成第二光阻层329中的第二槽口331的制程可以与上述用来形成第一光阻层129中的第一槽口131的制程相同。例如,可以使用光学刻蚀制程形成第二光阻层329中的第二槽口331。然而,可以使用其他任何合适的材料和任何合适的制程形成第二光阻层329中的第二槽口331。因此,通过图案化的第二光阻层329,在第二光阻层329中的第二槽口331暴露出第一中间层127的第二表面。如第三中间结构300的上视图350所示,通过图案化的第二光阻层329,一系列的第二槽口331暴露出第一中间层127的第二表面。
在一些实施例中,第二光阻层329的第二槽口331可具有与第一光阻层129的第一槽口131相同的宽度。在其他实施例中,第二光阻层329的第二槽口331可具有与第一光阻层129的第一槽口131不同的宽度。在一实施例中,第二光阻层329的第二槽口331具有在约40nm至约60nm的第一宽度W1331,例如约45nm。然而,第二光阻层329的第二槽口331可以具有任何合适的宽度。
图4A-4B绘示在第二蚀刻制程中将第二光阻层329的图案转移至留下的第一中间层127。相较于第二光阻层329和第二中间层125,第一中间层127具有高蚀刻选择性。在一实施例中,第二光阻层329作为第一中间层127留下部份的第二图案的一个蚀刻掩模。在一些实施例中,第二蚀刻制程可以和用于转移第一光阻层129的图案至第一中间层127的第一蚀刻制程相同。在一些实施例中,第二蚀刻制程可以是非等向性蚀刻制程,使得在第二光阻层329中的第二槽口331可以延伸穿过第一中间层127。然而,可以使用任何合适的蚀刻制程做为第二蚀刻制程。根据一实施例,在第一中间层127中的第二槽口331可具有第二宽度W2331,其大致与在第一中间层127中的第一槽口131的第二宽度W2131相同尺寸。在其他实施例中,在第一中间层127中的第二槽口331的第二宽度W2331,可以与在第一中间层127中的第一槽口131的第二宽度W2131的尺寸不同。
当第二光阻层329的图案被转移至第一中间层127的留下的部分,在第二光阻层329中的第二槽口331的尺寸和特性也被转移至第一中间层127中第二槽口331的尺寸和特性。因此,在一些实施例中,依据在第二光阻层329中的第二槽口331的尺寸和特性,在第一中间层127中的第二槽口331可具有相同的第二宽度W2231。在其他实施例中,在第一中间层127中的第二槽口331的第二宽度W2231可能彼此并不相同。根据一些实施例,在第一中间层127中的单一个第二槽口331可能具有一个以上的宽度。
在一实施例中,如同于第二光阻层329中形成的第二槽口331的尺寸,第二槽口331可具有与第一中间层127的留下部分的第一槽口大致相同(或者稍微较小)的尺寸。在其他实施例中,例如,由于临界尺寸(critical dimension)的缩减,第二宽度W2231可不同于第一宽度W1131。根据一实施例,在第一中间层127的留下部分中,第二槽口的331第二宽度W2231可以是在约6nm至12nm范围之间,例如约9nm。然而,第二槽口的331可以具有任何合适的宽度。
如图4B所示的第四中间结构400的上视图450,通过仍留下的第一中间层127的部分,一系列的第二槽口331穿过第一中间层127的留下部分,而暴露出第二中间层125的第二表面,其中第二中间层125的暴露的第二表面与第二中间层125的暴露的第一表面一起并排。在一实施例中,具有第二槽口331的图案化的第二光阻层329可以与图案化的第一光阻层129有偏移重叠。在第一中间层127仍留下的部分之间,第二中间层125的暴露的第一表面是与一系列第二中间层125的暴露的第二表面交替设置。第一中间层127仍留下的部分可以定义图案并作为一掩模层(例如第一槽口图案),而对第二中间层125进行图案化。
图5A-5B绘示将第三光阻层529沉积于图案化的第一中间层127上方,以及填充于第一中间层127中第一槽口131和第二槽口331之间的第二中间层125的第一和第二暴露部分。因此,底层123、第二中间层125、第一中间层127以及第三光阻层529合称为第三四层光阻(third tetra-layer photoresist)521。在一些实施例中,用来沉积第三光阻层529的材料和制程可以与上述用来沉积第一光阻层129的材料和制程相同。例如,可使用旋转涂布制程或类似方法沉积一光敏材料,以做为图案化的第一中间层127的上方的第三光阻层529。然而,可以使用其他任何合适的材料和任何合适的制程以沉积第三光阻层529。
在一些实施例中,第三光阻层529可具有与第一光阻层129相同的厚度。在其他实施例中,第三光阻层529可具有与第一光阻层129不同的厚度。在一实施例中,第三光阻层529具有约30nm至约100nm范围之间的总厚度Th529,例如约50nm。然而,形成的第三光阻层529可以具有任何合适的厚度。
图5A-5B更示出图案化的第三光阻层529,其形成一截切掩模(cut mask)于图案化的第一中间层127的上方。图5B中以虚线长方形标示的区域是表示对应于图5A中所示的第五中间结构500的上视图550的部分。可以进行任何合适的光学刻蚀制程,以进行第三光阻层529的图案化。例如,第三光阻层529的图案化步骤可以类似于上述关于第一四层光阻层121或第二四层光阻层321的图案化步骤。
在一些实施例中,在相邻第一中间层127留下的部分之间,多个截切金属到源极/漏极(metal-to-S/D;MD)光阻部分535(例如光阻截切岛)可以延伸跨过并填充一系列第一槽口131和一系列第二槽口331的其中一个或多个的一部分。因此,截切MD光阻部分535填充在第一中间层127中的部分的第一槽口131以及填充在第一中间层127中的部分的第二槽口331。虽然图5A仅绘示两个截切MD光阻部分535,亦可以在沿着第一中间层127的留下部分的表面而设置多个截切MD光阻部分535(亦即,截切图案)于多个区域中,如图5B中第五中间结构500的上视图550所示。
在一些实施例中,截切MD光阻部分535可具有相同的长度和相同的宽度。在其他实施例中,截切MD光阻部分535可具有不同的长度和不同的宽度。在一些实施例中,第一组截切MD光阻部分535可具有第一长度L1535和第一宽度W1535,而第二组截切MD光阻部分535可具有第二长度L2535和第二宽度W2535。在一实施例中,第一长度L1535可在约40nm至约70nm之间,例如约50nm,且第一宽度W1535可在约25nm至约40nm之间,例如约30nm。在一实施例中,第二长度L2535可在约120nm至约200nm之间,例如约150nm,且第二宽度W2535可在约30nm至约50nm之间,例如约40nm。然而,形成的截切MD光阻部分535可以具有任何合适的长度和宽度。
再者,虽然如图5A所示的截切MD光阻部分535仅覆盖其中一个第一槽口131的一部分的一个区域,各个截切MD光阻部分535可以如图5B中第五中间结构500的上视图550所示,是覆盖超过第一槽口131的一部分、第二槽口331的一部分、或前述的组合的一个区域。然而,多个截切MD光阻部分535(亦即,截切图案)可以覆盖第一中间层127中的第一槽口131的任何部分以及第一中间层127中的第二槽口331的任何部分,其适合将截切图案转移至底层123,而符合制程需求。
在一些实施例中,第一组截切MD光阻部分535可以沿着第一槽口131以相同的第一距离D1131彼此相隔开来。在一实施例中,沿着第一槽口131的相同的第一距离D1131可以在约80nm至约120nm之间,例如约90nm。在一些实施例中,第二组截切MD光阻部分535可以沿着第二槽口331以不同的距离彼此相隔开来。例如,第二组截切MD光阻部分535可沿着第二槽口331以第二距离D2331、第三距离D3331以及第四距离D4331相隔开来。在一些实施例中,沿着第二槽口331的截切MD光阻部分535之间的第二距离D2331可以在约90nm至约150nm之间,例如约120nm。沿着第二槽口331的截切MD光阻部分535之间的第三距离D3331可以在约60nm至约100nm之间,例如约70nm。沿着第二槽口331的截切MD光阻部分535之间的第四距离D4331可以在约30nm至约60nm之间,例如约40nm。
图6A-6B绘示在第三蚀刻制程中将第一中间层127的留下部分的图案以及多个截切MD光阻部分535转移至第二中间层125的部分。在第三蚀刻制程的一实施例中,相较于第一中间层127、由第三光阻层529制得的截切掩模以及底层123,第二中间层125具有高蚀刻选择性。在一实施例中,第一中间层127的图案以及第三光阻层529制得的截切掩模作为一蚀刻遮罩,以在第三蚀刻制程的一初始蚀刻步骤中对第二中间层125进行图案化。当第二中间层125被图案化后,第三光阻层529的截切掩模535的留下的部分以及第一中间层127的留下的部分,如图5A-5B所示,可以被移除以形成如图6A所示的第六中间结构600a。
图6A-6B更示出图案化的第二中间层125,以在底层123上方形成一截切掩模。图6B中以虚线长方形标示的区域是表示对应于图6A中所示的第六中间结构600a的上视图650a的部分。底层123的暴露部分出现在设置于第二中间层125留下的部分之间的第一开口633a和第二开口631a内,且未被第二中间层125处的多个截切MD光阻部分635a所覆盖。可以通过一或多个任何合适的光学刻蚀制程,使用一或多个蚀刻制程移除第二中间层125的相对应部分。
根据一些实施例,用来对第二中间层125图案化的蚀刻制程可以是非等向性的蚀刻制程,使得在第一中间层127留下的部分之间的第一槽口131以及第二槽口331可以延伸穿过第二中间层125。如此,在第二中间层125留下的部分之间形成第一开口633a以及第二开口631a,且第二中间层125留下的部分与位于第二中间层125留下的部分内的截切MD光阻部分635a形成一体。因此,第二中间层125的第一开口633a以及第二开口631a可具有与在第一中间层127中的第一槽口以及第二槽口大致相同(或者稍微较小)的尺寸。所形成的中间结构600a如图6A、6B所示,且如图6A所示具有截切MD光阻部分635a的中间结构600a是具有和第一开口633a及第二开口631a之间的第二中间层125留下的部分呈对比的一对比图案。此截切MD光阻部分635a的对比图案仅是用以辅助在第二中间层125中形成的图案在视觉上的感知。
在一些实施例中,用来进行第二中间层125图案化的蚀刻制程可以包含使第二中间层125相对于第一中间层127、截切MD光阻部分535和底层123可具有高蚀刻选择性的一种干式蚀刻制程。例如,此蚀刻制程可包含等离子体蚀刻制程。在一些实施例中,等离子体蚀刻制程可包含以约100Watts至约800Watts之间的功率产生的电感耦合等离子体(ICP),且可以在10mTorr至100mTorr范围之间的压力下进行。在一些实施例中,等离子体蚀刻制程可使用Cl2、HBr、一含氟制程气体例如CF4,CH2F2,CHF3,或其他类型的制程气体。在一些实施例中,蚀刻方法可以选自一反应性离子蚀刻(RIE)制程(例如ICP或CCP),或类似制程。制程气体可包含一或多种蚀刻气体以及一或多种形成聚合物的气体。根据一些实施例,例如,当第二中间层125包含氧化硅,蚀刻气体可包含一含氟气体,例如CF4、NF3、或前述的组合。形成聚合物的气体可包含CHF3,CH2F2,CH3F,C4F6,C4F8、或前述的组合。制程气体的压力可以是在5mTorr至200mTorr的范围之间。在蚀刻第二中间层125期间,中间结构500的温度可在约0℃与约50℃之间的范围之间。然而,其他实施例可以使用任何其他形成合适的制程气体或蚀刻制程。在一些例子中,在蚀刻第二中间层125期间,可能会使截切MD光阻部分535和第一中间层127耗损。当第一槽口131和第二槽口331的图案以及截切MD光阻部分535已经被转移至第二中间层125,可以移除任何截切MD光阻部分535仍留下的部分以及第一中间层127仍留下的部分,以暴露出第二中间层125留下的部分以及截切MD光阻部分635a。图案化完成后,第二中间层125可以作为后续第三蚀刻制程的蚀刻步骤中所使用的蚀刻掩模(例如截切掩模),以将第二中间层125的图案转移至底层123。
图6C-6D绘示在后续第三蚀刻制程的蚀刻步骤中,在第二中间层125中形成的图案转移至底层123的相应部分。在第三蚀刻制程后续步骤的一实施例中,相较于第二中间层125和硬质掩模层117,底层123具有高蚀刻选择性。在一实施例中,在第三蚀刻制程的后续蚀刻步骤中,第二中间层125的图案是作为对底层123图案化的一蚀刻掩模。当底层123被图案化后,可以移除如图6A、6B所示的第二中间层125留下的部分,而形成如图6C所示的中间结构600b。
图6C-6D更示出底层123的图案,以在硬质掩模层117上方形成一截切掩模。图6D中以虚线长方形标示的区域是表示对应于图6C中所示的中间结构600b的上视图650b的部分。底层123所暴露出来的部分,其位于第二中间层125的留下部分之间未被截切MD光阻部分635a覆盖的第一开口633a和第二开口631a内,可以使用一或多个蚀刻制程移除,其中截切MD光阻部分635a与第二中间层125的留下部分形成一整体。底层123的图案可以通过任何合适的光学刻蚀制程而形成。
根据一些实施例,对底层123图案化的蚀刻制程可以是非等向性的蚀刻制程,使得在第二中间层125的留下部分之间的第一开口633a以及第二开口631a可以延伸穿过底层123。如此,在底层123留下的部分之间形成第一开口633b以及第二开口63b,且底层123留下的部分与形成于底层123中的截切MD转移图案(cut MD pattern transfers)635b形成一体。因此,底层123中的第一开口633b以及第二开口631b可具有与在第二中间层125中的第一开口以及第二开口大致相同(或者稍微较小)的尺寸。所形成的中间结构600b如图6C、6D所示,且如图6C所示的具有截切MD转移图案635b的中间结构600b具有和第一开口633b及第二开口631b之间的底层123留下的部分呈对比的一对比图案。此截切MD转移图案635b的对比图案仅是用以辅助在底层123中形成的图案在视觉上的感知。
在一些实施例中,用来进行底层123图案化的蚀刻制程可以包含使底层123相对于第二中间层125和硬质掩模层117可具有高蚀刻选择性的一种干式蚀刻制程。例如,此蚀刻制程可包含等离子体蚀刻制程。在一些实施例中,等离子体蚀刻制程可包含以约100Watts至约800Watts之间的功率产生的电感耦合等离子体(ICP),且可以在10mTorr至100mTorr范围之间的压力下进行。在一些实施例中,等离子体蚀刻制程可使用Cl2、HBr、一含氟制程气体例如CF4,CH2F2,CHF3,或其他类型的制程气体。在一些实施例中,蚀刻方法可以选自一反应性离子蚀刻(RIE)制程(例如ICP或CCP),或类似制程。制程气体可包含一或多种蚀刻气体以及一或多种形成聚合物的气体。根据一些实施例,例如,当底层123包含氧化硅,蚀刻气体可包含一含氟气体,例如CF4、NF3、或前述的组合。形成聚合物的气体可包含CHF3、CH2F2、CH3F、C4F6、C4F8、或前述的组合。制程气体的压力可以是在5mTorr至200mTorr的范围之间。在蚀刻第二中间层125期间,中间结构600a的温度可在约0℃与约50℃之间的范围之间。然而,其他实施例可以使用任何其他形成合适的制程气体或蚀刻制程。在一些例子中,在第三蚀刻制程的蚀刻步骤期间,可能会耗损第二中间层125。当底层123的第一开口633b以及第二开口631b已经形成,可以移除第二中间层125留下的部分,而暴露出底层123留下的部分以及底层123的截切MD转移图案635b。
虽然所讨论和绘示的实施例中,与对第二中间层125和底层123进行图案化的第三蚀刻制程相关的内容是分别进行蚀刻步骤,但这些实施例并非是限制性的实施例。第三蚀刻制程的其他实施例可包含在单一蚀刻步骤中对第二中间层125和底层123一起图案化。例如,在第三蚀刻制程中,相较于第一中间层127、截切MD光阻部分535和硬质掩模层117,第二中间层125和底层123具有高蚀刻选择性。如此,硬质掩模层117在蚀刻第二中间层125和底层123并且以单一蚀刻步骤转移第一中间层127以及截切MD光阻部分535的图案至第二中间层125和底层123时,可作为一停止层。在一些实施例中,在单一蚀刻步骤中,可能会耗损第一中间层127和截切MD光阻部分535。当底层123图案化后,可对图案化的第二中间层125进行移除,以暴露出图案化的底层123留下的部分。如此,图案化的底层123可做为将底层123图案转移至硬质掩模层117的掩模。所有这些实施例都是本揭露保护范围内的实施例。
图7A-7B绘示将底层123中第一开口631、第二开口633以及截切MD转移图案635转移至硬质掩模层117,以在硬质掩模层117中形成第一开口731、第二开口733以及截切MD转移图案735。图7A-7B更示出硬质掩模层117的图案化,以在目标层115上方形成一截切掩模。图7B中以虚线长方形标示的区域是表示对应于图7A中所示的第七中间结构700的上视图750的部分。
如图7A所示,可进行第四蚀刻制程,以转移底层123的图案至硬质掩模层117中,因而将第一开口631与第二开口633延伸穿过硬质掩模层117。硬质掩模层117的第四蚀刻制程可以是非等向性的,使得在底层123中的第一开口631与第二开口633延伸穿过硬质掩模层117。如此,在底层123留下的部分之间形成第一开口731与第二开口733,且底层123留下的部分与硬质掩模层117内的截切MD转移图案735形成一体。因此,硬质掩模层117的第一开口731与第二开口733可具有与在底层123中的第一开口631与第二开口633大致相同(或者稍微较小)的尺寸。所形成的第七中间结构700如图7A、7B所示,且如图7A所示具有截切MD转移图案735的第七中间结构700是具有和硬质掩模层117留下的部分之间的第一开口731及第二开口733之间的图案呈对比的一对比图案。此截切MD转移图案735的对比图案仅是用以辅助在硬质掩模层117中形成的图案在视觉上的感知。
在蚀刻硬质掩模层117期间,可能会消耗底层123。在一些实施例中,当蚀刻硬质掩模层117时图案化的底层123未被完全地消耗时,可进行一灰化制程以移除底层123留下的残留物。当底层123被移除,即可暴露出之前被底层123覆盖的硬质掩模层117的部分。之后,硬质掩模层117可以作为对目标层115进行蚀刻的一蚀刻掩模,使硬质掩模层117的图案转移至目标层115。
如图7B所示,经由硬质掩模层117的第一开口731及第二开口733所暴露出的目标层115的区域,可以定义出在目标层115中形成接触件的区域。硬质掩模层117暴露的部分可以定义出后续在目标层115中形成的接触件之间的切口位置。图案化后,硬质掩模层117留下的部分可以作为下方的目标层115到半导体结构101的源极区105以及到漏极区107的一个蚀刻掩模,以形成接触开口(contact openings)。如此,在硬质掩模层117中形成的蚀刻掩模的第一开口731、第二开口733以及截切MD转移图案735,可以被转移至目标层115中以及半导体结构101中,以形成接触开口。
图8A-8B绘示根据一些实施例,以图案化的硬质掩模层117作为蚀刻掩模,以将硬质掩模层117中的第一开口731、第二开口733以及截切MD转移图案735转移至目标层115、蚀刻停止层113以及内层介电层111中的第五蚀刻制程。图8A-8B更示出目标层115、蚀刻停止层113以及内层介电层111的图案化,以在半导体结构101的源极/漏极区上方形成第一接触开口831和第二接触开口833。
虽然所讨论和绘示的实施例中,与对目标层115、蚀刻停止层113以及内层介电层111进行图案化的第五蚀刻制程相关的内容是进行单一蚀刻步骤,但这些实施例并非是限制性的实施例。第五蚀刻制程的其他实施例可包含以个别进行的蚀刻步骤对目标层115、蚀刻停止层113以及内层介电层111进行图案化。所有这些实施例都是本揭露的实施例所保护的范围内。
第五蚀刻制程可用来形成第一接触开口831以及第二接触开口833,在一些实施例中,第五蚀刻制程可能是一种干式蚀刻制程,使用包含CF4、SO2、含有HBr、Cl以及O2的混合物、或者含有HBr、Cl2、O2以及CF2的混合物等等的蚀刻气体。在其他实施例中,用来形成第一接触开口831以及第二接触开口833的第五蚀刻制程可能是一种湿式蚀刻制程,其使用的蚀刻溶液例如包含氢氧化钾(KOH)、四甲基氢氧化铵(tetramethylammonium hydroxide;TMAH)、醋酸(CH3COOH)、氢氧化铵(NH4OH)、过氧化氢(H2O2)、异丙醇(Isopropanol;IPA)、或含有氟化氢、硝酸以及水的溶液。然而,任何合适的蚀刻技术和任何合适的蚀刻溶液、或前述的组合,都可用来形成第一接触开口831以及第二接触开口833。
当第一接触开口831以及第二接触开口833已经形成,可以移除硬质掩模层117留下的部分。以硬质掩模层117形成地的掩模可以是等向性的移除或非等向性的移除,而且可以使用湿式蚀刻制程或使用干式蚀刻制程进行移除。然而,可以使用任何合适的蚀刻制程以移除硬质掩模层117留下的部分。
如图8A所示,进行第五蚀刻制程以将硬质掩模层117的图案转移至目标层115、蚀刻停止层113以及内层介电层111中,因而延伸硬质掩模层117的第一开口731以及第二开口733穿过目标层115、蚀刻停止层113以及内层介电层111。如此,在目标层115、蚀刻停止层113以及内层介电层111留下的部分之间形成第一接触开口831以及第二接触开口833,且目标层115、蚀刻停止层113以及内层介电层111留下的部分是与位于目标层115、蚀刻停止层113以及内层介电层111中的截切MD转移图案835形成一体。所形成的第八中间结构800如图8A、8B所示,且如图8A所示的截切MD转移图案835是具有和在第一开口731及第二开口733之间的目标层115、蚀刻停止层113以及内层介电层111的留下的部分呈对比的一对比图案。此截切MD转移图案835的对比图案仅是用以辅助在目标层115、蚀刻停止层113以及内层介电层111中形成的图案的视觉感知。
如图8A所示,第一接触开口831以及第二接触开口833是蚀刻至源极区105和漏极区107,以形成半导体结构101的源极/漏极接触开口。图8B中以虚线长方形标示的区域是表示对应于图8A中所示的第八中间结构800的上视图850的部分。在一实施例中,第一接触开口831是形成于漏极区107的上方,第二接触开口833是形成于源极区105的上方。
举例而言,在目标层115中的第一接触开口831以及第二接触开口833可暴露出鳍式场效晶体管装置的源极/漏极区,以形成鳍式场效晶体管装置的源极/漏极接触件(source/drain contacts),以及/或暴露出鳍式场效晶体管装置的栅极,以形成鳍式场效晶体管装置的栅极接触件(gate contacts)。目标层115、蚀刻停止层113以及内层介电层111的蚀刻制程可以包含一非等向性的干式蚀刻制程以及/或一湿式蚀刻制程。如图8A、8B所示的目标层115、蚀刻停止层113及内层介电层111的留下部分可具有与如图7A、7B所示的硬质掩模层117大致相同(或者稍微较小)的图案。因此,可以用单一图案化步骤对目标层115、蚀刻停止层113及内层介电层111进行图案化。在目标层115、蚀刻停止层113及内层介电层111进行图案化而形成第一接触开口831以及第二接触开口833之后,可进行一湿式清洁制程以移除任何硬质掩模层117留下的部分。
参照图9A-9B,在图案化目标层115的漏极区107上方的第一接触开口831中形成第一接触件931,且在图案化目标层115、图案化蚀刻停止层113以及图案化内层介电层111的源极区105上方的第二接触开口833中形成第二接触件933。在一实施例中,可以沿着目标层115的第一接触开口831以及第二接触开口833的侧壁和底表面形成一或多个衬层(liners),且可在目标层115的上方形成一导电材料。在一些实施例中,在形成衬层之前,可在第一接触开口831以及第二接触开口833所暴露出的源极/漏极区中形成金属硅化物区833。导电材料可以先沉积于衬层上方且可以溢出目标层115的第一接触开口831以及第二接触开口833。衬层可包含TiO、TiN、TaO、TaN、或其类似物,且可以为接触件提供扩散阻障层、黏着层以及/或晶种层。可以用任何合适的制程,例如物理气相沉积法化学气相沉积、原子层沉积、或类似制程,以沉积衬层。导电材料可以是任何合适的一种导电材料,例如铜、钨或其他导电材料,且可以用例如物理气相沉积法、电镀、或类似制程以进行沉积。
在实施例中,对第一中间层127、第二中间层125以及转移至第一四层光阻121的底层123所进行的槽口MD图案化(slot MD patterning)以及截切MD图案化(cut MDpatterning),如上述图1A-6B所示,可以是对第一四层光阻121进行的一种「切口后制」(“cut last”)的图案化制程。如此,在第一四层光阻121的第一中间层127中的第一槽口131和第二槽口可具有低程度的线宽粗糙度(line width roughness;LWR),并且可具有极少或没有扭曲(wiggling)(例如MD凹槽扭曲)的槽口轮廓,如上面图2A-4B的参考附图所示。由于低程度的线宽粗糙度和极少或没有扭曲的槽口轮廓,具有清晰定义的轮廓的槽口MD图案以及截切MD图案转移至第一四层光阻121的底层123、硬质掩模层117以及最终的目标层105中,如上面图5A-8B的参考附图所示,如此可降低在接触件之间形成金属至源极/漏极与金属栅极(MD-to-MG)桥接的风险。
在一些实施例中,文中叙述的图案化方法可用来形成设置导电部件的第一接触开口831以及第二接触开口833,导电部件例如是形成在目标层105中的第一接触件931以及第二接触件933(例如接触插塞)。如文中所述,可用一掩模以定义形成接触件的区域,然后用另一掩模来定义形成接触件的区域。由于切割区域的图案化是在接触区域的图案化之后才进行,文中所述的图案化方法可视为一「切口后制」制程。此与在进行接触区域的图案化之前先进行切割区域的图案化的「切口先制」(“cut first”)制程形成对比。在一些例子中,可以形成具有一或多个切口的数个接触件,且切口有相同或大致相同的尺寸,此可使得接触件的电阻有更良好的控制。
在一些例子中,使用第一四层光阻121对目标层115进行蚀刻,可增进在目标层115中定义出具有精细节距的图案。在一些实施例中,可以利用第一四层光阻121中的第二光阻层329的第二槽口图案,以「切口后制」制程将第二槽口图案转移至第一四层光阻121中的第一中间层127。因此,形成于第一四层光阻121中的第一中间层127中的第二槽口331可以具有低程度的线宽粗糙度并且可具有极少或没有扭曲(例如MD凹槽扭曲)的槽口轮廓,如图5A-8B所示。由于低程度的线宽粗糙度和槽口轮廓极少扭曲或没有扭曲,如此可降低在接触件之间形成MD-to-MG桥接的风险。再者,「切口后制」和四层光阻的设计可以与其他切口设计(例如氧化物切口(cut OD)、多晶硅切口(cut POLY)以及金属切口)一起使用。
在一实施例中,一种半导体装置的制造方法包括于一目标层的上方沉积一第一掩模层;形成一四层光阻于第一掩模层的上方;转移四层光阻的一第一光阻层的一槽口图案至四层光阻的一第一中间层中;形成并转移槽口图案至四层光阻的一底层中;转移底层的槽口图案以及切口图案至第一掩模层中;转移底层的槽口图案以及切口图案至第一掩模层中;以及使用第一掩模层的槽口图案以及切口图案对目标层进行蚀刻,以在目标层中形成多个接触开口。在一实施例中,半导体装置的制造方法包括还包括在转移第一光阻层的槽口图案至第一中间层之后,在第一中间层的上方沉积一第二光阻层;以及转移第二光阻层的一第二槽口图案至四层光阻的第一中间层中,第二槽口图案与槽口图案偏移重叠。在一实施例中,转移四层光阻的第一光阻层的槽口图案至四层光阻的第一中间层中更包含暴露四层光阻的一第二中间层的第一表面。在一实施例中,四层光阻的第一中间层包含一氮氧化硅材料。在一实施例中,四层光阻的第二中间层包含一金属氧化物材料。在一实施例中,对第一中间层进行蚀刻所使用的一制程气体包含:一含氟气体选自CF4、NF3、以及前述的组合;以及一聚合物的气体选自CHF3、CH2F2、CH3F、C4F6、C4F8、以及前述的组合。在一实施例中,目标层包含设置在一半导体结构的一内层介电层,半导体结构包含多个半导体鳍片。在一实施例中,半导体装置的制造方法还包括在这些接触开口中填充一导电材料。
在一实施例中,一种半导体装置的制造方法包括在设置于一半导体结构上方的一化合物光阻层的一第一中间层中,形成一系列的槽口;形成一图案化的蚀刻掩模,此蚀刻掩模包括一第二光阻层中的多个光阻截切岛,这些光阻截切岛设置在化合物光阻层的第一中间层中的此一系列的槽口的上方,这些光阻截切岛跨过且填入在第一中间层中的一或多个此一系列的槽口的一部分;转移此一系列的槽口以及此图案化的蚀刻掩模至化合物光阻层的一底层,以形成一截切掩模;以及使用此截切掩模形成多个接触开口,这些接触开口穿过设置在半导体结构上方的一层间介电层,且这些接触开口暴露出在此半导体结构中的装置的源极和漏极区的部分。在一实施例中,形成此一系列的槽口于化合物光阻层的第一中间层中包含形成一第一系列的槽口于第一中间层中。在一实施例中,在形成第一系列的槽口之后,形成此一系列的槽口于化合物光阻层的第一中间层中包含形成一第二系列的槽口于第一中间层中,第二系列的槽口偏移于第一系列的槽口。在一实施例中,形成图案化的蚀刻掩模于化合物光阻层的底层中包含使用设置在化合物光阻层的底层与层间介电层之间的一硬质掩模层,以作为化合物光阻层的底层的一蚀刻停止层。在一实施例中,硬质掩模层的材料是选自由碳化钨和氮化钛所组成的群组的材料。在一实施例中,硬质掩模层的第一表面与化合物光阻层的底层接触,硬质掩模层的第二表面与层间介电层接触,硬质掩模层的第二表面相对于硬质掩模层的第一表面。在一实施例中,形成此一系列的槽口于化合物光阻层的第一中间层中,包含使用化合物光阻层的第二中间层做为化合物光阻层的底层上方的一停止层。
在一实施例中,一种鳍式场效晶体管装置的接触插塞的制造方法包括在一半导体结构的上方沉积一硬质掩模层;在硬质掩模层的上方形成一四层光阻层;在四层光阻层的一第一中间层中蚀刻出一系列的槽口;在第一中间层的留下部分的上方形成一图案化的光阻掩模;转移图案化的光阻掩模和此一系列的槽口至四层光阻层的一底层中;使用底层中的图案化的光阻掩模和系列的槽口,以在硬质掩模层中形成一截切幕层;蚀刻出接触开口,这些接触开口穿过一层间介电层且到达在半导体结构中的这些鳍式场效晶体管装置的源极区和漏极区的表面;以及沉积一导电材料于这些接触开口中,以形成这些鳍式场效晶体管装置的接触插塞。在一实施例中,于此半导体结构的上方沉积硬质掩模层包括沉积此硬质掩模层与层间介电层接触。在一实施例中,在硬质掩模层的上方形成四层光阻层包括沉积此四层光阻层的一底层于硬质掩模层的第二表面的上方,且底层与第二表面接触。在一实施例中,形成此图案化的光阻掩模包括形成多个光阻截切岛于两个或更多个第一中间层留下的部分的上方并接触这些留下的部分,这些光阻截切岛在位于第一中间层这些留下的部分之间的一个或多个此一系列的槽口的上方延伸。在一实施例中,半导体装置的制造方法还包括在此图案化的光阻掩模和此一系列的槽口至底层中之前,使用四层光阻层的一第二中间层做为蚀刻第一中间层中的此一系列的槽口的一蚀刻停止层。
以上概述数个实施例的部件,以便在本公开所属技术领域中具有通常知识者可以更加理解本公开实施例的观点。在本公开所属技术领域中具有通常知识者应理解,他们能轻易地以本公开实施例为基础,设计或修改其他制程和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本公开所属技术领域中具有通常知识者也应理解,此类等效的结构并无悖离本公开的精神与范围,且他们能在不违背本公开的精神和范围下,做各式各样的改变、取代和替换。因此,本公开的保护范围当视后附的申请专利范围所界定为准。

Claims (10)

1.一种半导体装置的制造方法,包括:
于一目标层的上方沉积一第一掩模层;
形成一四层光阻于该第一掩模层的上方;
转移该四层光阻的一第一光阻层的一槽口图案至该四层光阻的一第一中间层中;
形成一蚀刻掩模光阻层的一切口图案于该第一中间层的该槽口图案的上方;
转移该槽口图案至该四层光阻的一底层中;
转移该底层的该槽口图案以及该切口图案至该第一掩模层中;以及
使用该第一掩模层的该槽口图案以及该切口图案对该目标层进行蚀刻,以在该目标层中形成多个接触开口。
2.如权利要求1所述的半导体装置的制造方法,还包括:
在转移该第一光阻层的该槽口图案至该第一中间层之后,在该第一中间层的上方沉积一第二光阻层;以及
转移该第二光阻层的一第二槽口图案至该四层光阻的该第一中间层中,该第二槽口图案与该槽口图案偏移重叠。
3.如权利要求2所述的半导体装置的制造方法,其中转移该四层光阻的该第一光阻层的该槽口图案至该四层光阻的该第一中间层中更包含暴露该四层光阻的一第二中间层的第一表面。
4.如权利要求3所述的半导体装置的制造方法,其中该四层光阻的该第一中间层包含一氮氧化硅材料。
5.如权利要求1所述的半导体装置的制造方法,其中该目标层包含设置在一半导体结构的一内层介电层,该半导体结构包含多个半导体鳍片。
6.如权利要求1所述的半导体装置的制造方法,还包括在该些接触开口中填充一导电材料。
7.一种半导体装置的制造方法,包括:
在设置于一半导体结构上方的一化合物光阻层的一第一中间层中,形成一系列的槽口;
形成一图案化的蚀刻掩模,该蚀刻掩模包括一第二光阻层中的多个光阻截切岛,该些光阻截切岛设置在该化合物光阻层的该第一中间层中的该系列的槽口的上方,且该些光阻截切岛跨过且填入在该第一中间层中的一或多个该系列的槽口的一部分;
转移该系列的槽口以及该图案化的蚀刻掩模至该化合物光阻层的一底层,以形成一截切掩模;以及
使用该截切掩模形成多个接触开口,该些接触开口穿过设置在该半导体结构上方的一层间介电层,且该些接触开口暴露出在该半导体结构中的装置的源极和漏极区的部分。
8.如权利要求7所述的半导体装置的制造方法,其中形成该系列的槽口于该化合物光阻层的该第一中间层中包含形成一第一系列的槽口于该第一中间层中。
9.如权利要求7所述的半导体装置的制造方法,其中形成该图案化的蚀刻掩模于该化合物光阻层的该底层中包含使用设置在该化合物光阻层的该底层与该层间介电层之间的一硬质掩模层,作为该化合物光阻层的该底层的一蚀刻停止层。
10.一种鳍式场效晶体管装置的接触插塞的制造方法,包括:
在一半导体结构的上方沉积一硬质掩模层;
在该硬质掩模层的上方形成一四层光阻层;
在该四层光阻层的一第一中间层中蚀刻出一系列的槽口;
在该第一中间层的留下部分的上方形成一图案化的光阻掩模;
转移该图案化的光阻掩模和该系列的槽口至该四层光阻层的一底层中;
使用该底层中的该图案化的光阻掩模和该系列的槽口,以在该硬质掩模层中形成一截切幕层;
蚀刻出接触开口,该些接触开口穿过一层间介电层且到达在该半导体结构中的该些鳍式场效晶体管装置的源极区和漏极区的表面;以及
沉积一导电材料于该些接触开口中,以形成该些鳍式场效晶体管装置的接触插塞。
CN201911046113.4A 2018-10-31 2019-10-30 半导体装置的制造方法及其接触插塞的制造方法 Active CN111128691B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753733P 2018-10-31 2018-10-31
US62/753,733 2018-10-31
US16/208,213 US11121026B2 (en) 2018-10-31 2018-12-03 Semiconductor device and method of manufacture
US16/208,213 2018-12-03

Publications (2)

Publication Number Publication Date
CN111128691A true CN111128691A (zh) 2020-05-08
CN111128691B CN111128691B (zh) 2022-09-30

Family

ID=70328361

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911046113.4A Active CN111128691B (zh) 2018-10-31 2019-10-30 半导体装置的制造方法及其接触插塞的制造方法

Country Status (3)

Country Link
US (1) US11121026B2 (zh)
CN (1) CN111128691B (zh)
TW (1) TWI728493B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
TWI824387B (zh) * 2022-01-19 2023-12-01 華邦電子股份有限公司 半導體記憶體結構的形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130337650A1 (en) * 2012-06-19 2013-12-19 Chang-Hsiao Lee Method of manufacturing dual damascene structure
CN104051328A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 用于半导体器件制造的图案化方法
CN105321874A (zh) * 2014-07-10 2016-02-10 台湾积体电路制造股份有限公司 自对准双重图案化
CN106252408A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 具有互连结构的鳍式场效应晶体管(finfet)器件结构

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7550773B2 (en) 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
CN107680968B (zh) 2011-12-28 2022-02-22 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
US9397004B2 (en) 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9524965B2 (en) 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US20160103396A1 (en) 2014-10-13 2016-04-14 United Microelectronics Corp. Double patterning method
TWI664732B (zh) 2015-06-23 2019-07-01 聯華電子股份有限公司 半導體結構及製程
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130337650A1 (en) * 2012-06-19 2013-12-19 Chang-Hsiao Lee Method of manufacturing dual damascene structure
CN104051328A (zh) * 2013-03-14 2014-09-17 台湾积体电路制造股份有限公司 用于半导体器件制造的图案化方法
CN105321874A (zh) * 2014-07-10 2016-02-10 台湾积体电路制造股份有限公司 自对准双重图案化
CN106252408A (zh) * 2015-06-15 2016-12-21 台湾积体电路制造股份有限公司 具有互连结构的鳍式场效应晶体管(finfet)器件结构

Also Published As

Publication number Publication date
TWI728493B (zh) 2021-05-21
CN111128691B (zh) 2022-09-30
US20200135547A1 (en) 2020-04-30
US11121026B2 (en) 2021-09-14
TW202018763A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
KR102102735B1 (ko) 다중 패터닝 방법
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
TWI638428B (zh) 半導體裝置及其製造方法
US10263090B2 (en) Semiconductor device and manufacturing method thereof
TW201913755A (zh) 半導體裝置及其形成方法
KR20180078126A (ko) 반도체 디바이스 및 그 제조 방법
KR20180121327A (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
CN110416067B (zh) 半导体装置的制造方法
US10707123B2 (en) Etch profile control of interconnect structures
US9384988B2 (en) Gate protection caps and method of forming the same
US10177037B2 (en) Methods of forming a CT pillar between gate structures in a semiconductor
US11804534B2 (en) Semiconductor device and manufacturing method thereof
CN111128691B (zh) 半导体装置的制造方法及其接触插塞的制造方法
US9620369B2 (en) Method for fabricating semiconductor device to integrate transistor with passive device
TW202243032A (zh) 半導體結構及其形成方法
TWI651764B (zh) 用以形成交叉耦接接觸之裝置及方法
TW202147436A (zh) 半導體裝置及其形成方法
US20160233091A1 (en) Integrated circuits with middle of line capacitance reduction in self-aligned contact process flow and fabrication methods
US7915160B1 (en) Methods for forming small contacts
CN109494149B (zh) 半导体结构的制作方法
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
US20240030299A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant