KR20180121327A - 반도체 장치 및 구조에 이르기 위한 패터닝 방법 - Google Patents

반도체 장치 및 구조에 이르기 위한 패터닝 방법 Download PDF

Info

Publication number
KR20180121327A
KR20180121327A KR1020170165341A KR20170165341A KR20180121327A KR 20180121327 A KR20180121327 A KR 20180121327A KR 1020170165341 A KR1020170165341 A KR 1020170165341A KR 20170165341 A KR20170165341 A KR 20170165341A KR 20180121327 A KR20180121327 A KR 20180121327A
Authority
KR
South Korea
Prior art keywords
layer
sacrificial material
mandrel
spacer layer
patterning
Prior art date
Application number
KR1020170165341A
Other languages
English (en)
Other versions
KR102108234B1 (ko
Inventor
타이-옌 펭
차오-쿠에이 예
잉-하오 우
치-하오 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180121327A publication Critical patent/KR20180121327A/ko
Application granted granted Critical
Publication of KR102108234B1 publication Critical patent/KR102108234B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

예시적인 방법은 하드 마스크 층 위에 제1 맨드렐 및 제2 맨드렐을 형성하는 것을 포함한다. 방법은 또한 제1 맨드렐 및 제2 맨드렐의 측벽들 위에 그리고 측벽들을 따라 스페이서층을 성막하는 것, 및 제1 맨드렐과 제2 맨드렐 사이의 스페이서층 위에 희생 물질을 형성하는 것을 포함한다. 희생 물질은 무기 산화물을 포함한다. 방법은 또한 제1 맨드렐 및 제2 맨드렐을 노출시키도록 스페이서층의 제1 수평 부분들을 제거하는 것을 포함한다. 스페이서층의 나머지 부분들은 제1 맨드렐 및 제2 맨드렐의 측벽들에 스페이서들을 제공한다. 방법은 제1 맨드렐 및 제 2 맨드렐을 제거하는 것, 및 스페이서들 및 희생 물질을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 것을 더 포함한다.

Description

반도체 장치 및 구조에 이르기 위한 패터닝 방법{Patterning Method for Semiconductor Device and Structures resulting therefrom}
본 발명은 반도체 장치 및 구조에 이르기 위한 패터닝 방법에 관한 것이다. 본 출원은 2017년 4월 28일에 출원된 U.S. 출원번호 62/491,614 가출원을 우선권으로 주장하고, 이하에서는 참조에 의해 병합된다.
반도체 장치의 다운 스케일링(down-scaling)이 증가하면서, 점점 더 작은 치수들을 갖는 장치들의 제조가 가능하도록 다양한 처리 기술(예컨대, 포토리소그래피)이 조정된다. 예를 들어, 게이트들의 밀도가 증가하면서, 장치의 다양한 피쳐들(예컨대, 상부의 상호 연결 피쳐들(overlying interconnect features))의 제조 공정이 전체로서 장치 피쳐부의 다운 스케일링이 양립될 수 있도록 조정된다. 그러나, 반도체 공정이 점점 더 작은 공정 윈도우를 갖게 되면서, 이 장치들의 제조는 포토리소그래피 장비의 이론적 한계에 접근해왔고 심지어 한계를 뛰어넘었다. 반도체 장치가 계속 축소하면서, 장치의 소자들 사이의 원하는 간격(즉, 피치(pitch))이 전형적인 광학 마스크 및 포토리소그래피 장비를 사용하여 제조될 수 있는 피치보다 더 작다.
도 1, 도 2, 도 3, 도 4, 도 5, 도 6a, 도 6b, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a, 도 9b, 도 10a, 도 10b, 도 11a, 도 11b, 도 12a, 도 12b, 도 13a, 도 13b, 도 14, 도 15a, 도 15b, 도 16a, 도 16b, 도 17a 및 도 17b는 일부의 실시예에 따른 반도체 장치를 제조하는 다양한 중간 단계들의 단면도를 도시한다.
도 18a, 도 18b, 도 19a, 도 19b, 도 20a, 및 도 20b는 일부의 다른 실시예에 따른 반도체 장치를 제조하는 다양한 중간 단계들의 단면도를 도시한다.
도 21a, 도 21b, 도 22a, 도 22b, 도 23a, 도 23b, 도 24a, 도 24b, 도 25a, 도 25b, 도 26a, 도 26b, 도 27a, 도 27b, 도 28a, 도 28b, 도 29a, 도 29b, 및 도 30은 일부의 다른 실시예에 따른 반도체 장치를 제조하는 다양한 중간 단계들의 단면도를 도시한다.
본 발명의 양태들은 수반하는 도면들과 이해될 때 다음에 기재된 상세한 설명으로부터 최적으로 이해된다. 산업에서 표준 실무에 따라, 다양한 피쳐들의 크기가 조정되어 도시되지 않는 점이 주목된다. 사실상, 다양한 피쳐들의 치수가 논의의 명확성을 위해 임의적으로 증가되거나 감소될 수 있다.
다음의 개시는 발명의 상이한 특징들을 이행하기 위한 많은 상이한 실시예들, 또는 예시들을 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 구성의 특정 실시형태 또는 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 다음의 설명에서 제2 피쳐 위에 또는 제2 피쳐 상에 제1 피쳐의 포메이션은, 제1 및 제2 피쳐들이 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 또한 추가적인 피쳐들이 제1 및 제2 피쳐들 사이에 형성되는 실시예들을 포함할 수도 있으며 이에 따라 제1 및 제2 피쳐들은 직접 접촉되지 않을 수도 있다. 또한, 본 개시는 다양한 예시들에서 부호들 및/또는 글자들을 반복할 수 있다. 이 반복은 단순화와 명확화의 목적을 위한 것이고, 논의되는 다양한 실시예들 및/또는 피쳐들 사이의 관계 그 자체를 구술하는 것은 아니다.
또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 피쳐와 다른 요소(들) 또는 피쳐(들)와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방위와 함께, 사용 또는 동작 시의 디바이스의 상이한 방위를 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방위로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.
다양한 실시예들이 구체적으로 패터닝 공정, 즉, 맨드렐들이 패터닝되고, 스페이서들이 맨드렐들의 측벽들을 따라 형성되고, 맨드렐들이 맨드렐들의 피치의 절반에서 패턴을 형성하기 위해 스페이서들을 남기고 제거되는 자가 정렬 이중 패터닝(self-aligned double patterning)(SADP) 공정과 관련되어 설명된다. 그러나, 다양한 실시예들이 자가 정렬 4중 패터닝(self-aligned quadruple patterning)(SAQP) 및 기타 유사한 종류와 같이, 다른 패터닝 공정에 관한 것일 수 있다.
반도체 장치 및 방법은 일부의 실시예에 따라 제공된다. 특히, 자가 정렬 이중 패터닝 공정은 반도체 장치에 라인들을 패터닝하기 위하여 수행된다. 패터닝된 라인들은 포토리소그래피의 공정들을 사용하여 달성 가능한 최소한의 피치의 적어도 절반인 피치를 갖는다. 패터닝된 라인들은 인접한 스페이서들의 측벽들 사이에 형성될 수 있고, 패터닝된 희생 물질(가끔 반전 물질(reverse material)로 지칭됨)이 패터닝된 라인들에 형성될 수 있다. 희생 물질은 무기 물질들을 포함할 수 있고, 마스크(패터닝된 라인들의 선택된 영역들을 노출하는 개구들)에 개구들을 패터닝하고 화학 기상 증착(CVD), 물리 기상 증착(PVD), 원자층 증착(ALD), 및 기타 유사한 종류와 같은 적절한 막 증착 공정을 사용하는 개구들에 무기 물질을 성막함에 의해 형성된다. 희생 물질이 형성된 후, 스페이서들 및 희생 물질은 밑에 있는 마스크 층을 패터닝하는데 사용되고, 그 다음 타겟층을 패터닝하는데 사용된다. 밑에 있는 타겟층은 다양한 목적을 위해 사용되는 층일 수 있다. 예를 들어, 타겟층은 저-k 유전층일 수 있고, 여기에 개구들이 마스크 층을 사용하여 패터닝될 수 있다. 이어서, 전도성 물질(들)이 상호 연결 라인들(interconnect lines)을 형성하도록 저-k 유전층의 개구들에 채워질 수 있다. 상호 연결 라인들은 단독으로 포토리소그래피의 공정들을 사용하여 달성될 수 있는 것보다 더 미세한 피치를 가질 수 있다. 스핀-온 공정을 사용하여 형성되는 유기 희생 물질들과 비교하여, 무기 물질들의 성막 공정 실시예는 보다 적은 결함(예컨대, 보다 적은 버블링)을 가진 희생 물질의 개선된 형성에 이르게 한다. 따라서, 미세한 피치로 된 반도체 구조들이 개선된 수율로 제조될 수 있다.
도 1 내지 도 17b는 일부의 대표적인 실시예들에 따라, 반도체 장치(100)의 타겟층(102)의 피쳐들의 형성에서 중간 단계들의 단면도를 도시한다. 타겟층(102)은 본 개시의 실시예들에 따라 복수의 패턴들이 형성되는 층이다. 일부의 실시예에서, 반도체 장치(100)는 더 큰 웨이퍼의 일부로서 처리된다. 이러한 실시예에서, 반도체 장치(100)의 다양한 피쳐들(예컨대, 활성 장치들, 상호 연결 구조들, 및 기타 유사한 종류)이 형성된 후, 개별화 공정은 웨이퍼로부터 개별적인 반도체 다이들을 분리시키기 위하여 웨이퍼의 스크라이브 라인(scribe line) 영역들에 적용될 수 있다(개별화로도 지칭됨).
일부의 실시예에서, 타겟층(102)은 금속간 유전(IMD)막이다. 이러한 실시예에서, 타겟층(102)은 예를 들어 3.8보다 낮거나, 약 3.0보다 낮거나, 약 2.5보다 낮은 유전체 상수(k 값)를 갖는 저-k 유전체 물질을 포함한다. 대안적인 실시예에서, 타겟층(102)은 3.8보다 높은 k 값을 갖는 고-k 유전체 물질을 포함하는 IMD막이다. 개구들은 타겟층(102)에 예시적인 공정들로 패터닝될 수 있고, 전도성 라인들 및/또는 바이어(via)들은 아래에서 설명되는 바와 같이 개구들에 형성될 수 있다.
일부의 실시예에서, 타겟층(102)은 반도체 기판이다. 반도체 기판은 실리콘, 실리콘 게르마늄(silicon germanium) 또는 기타 유사한 종류와 같은 반도체 물질로 형성될 수 있다. 일부의 실시예에서, 반도체 기판은 크리스탈 실리콘 기판, 크리스탈 실리콘 카본 기판, 크리스탈 실리콘 게르마늄 기판, Ⅲ-Ⅴ 컴파운드 반도체 기판, 또는 기타 유사한 종류와 같은 크리스탈 반도체 기판일 수 있다. 반도체 기판은 예시적인 공정으로 패터닝될 수 있고, 후속 공정 단계들은 기판에 쉘로우 트렌치 분리(shallow trench isolation)(STI) 영역들을 형성하기 위해 사용될 수 있다. 반도체 핀들은 형성된 STI 영역들 사이로부터 돌출할 수 있다. 소스/드레인 영역들은 반도체 핀들에 형성될 수 있고, 게이트 유전체 및 전극층들은 핀들의 채널 영역들 위에 형성될 수 있고, 이에 의하여 핀펫(fin field effect transistors)(finFETs)과 같은 반도체 장치들을 형성한다.
일부의 실시예에서, 타겟층(102)은 금속층 또는 폴리실리콘층과 같은 전도성 층이고, 블랭킷(blanket) 성막된다. 예시적인 패터닝 공정들은 핀펫의 반도체 게이트들 및/또는 더미 게이트들을 패터닝하기 위하여 타겟층(102)에 적용될 수 있다. 예시적인 공정들을 전도성 타겟층(102)을 패터닝하는데 사용함에 의하여, 인접한 게이트들 사이의 간격은 감소될 수 있고 게이트 밀도는 증가될 수 있다.
도 1에서, 타겟층(102)을 포함하는 막 스택은 반도체 장치(100)에 형성된다. 일부의 실시예에서, 타겟층(102)은 반도체 기판(104) 위에 형성될 수 있다. 반도체 기판(104)은 실리콘, 도핑되거나 도핑되지 않은 반도체 물질, 또는 절연체 기판 상의 반도체(semiconductor-on-insulator)(SOI)의 활성층으로 형성될 수 있다. 반도체 기판(104)은 게르마늄; 실리콘 칼바이드(silicon carbide), 갈륨 아세닉(gallium arsenic), 갈륨 포스파이드(gallium phosphide), 인듐 포스파이드(indium phosphide), 인듐 아세나이드(indium arsenide), 및/또는 인듐 안티모나이드(indium antimonide)를 포함하는 반도체 화합물; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 반도체 합금; 또는 이들의 조합과 같은 다른 반도체 물질들을 포함할 수 있다. 다중층 또는 그래디언트(gradient) 기판들과 같은 다른 기판들이 또한 사용될 수 있다. 트랜지스터, 다이오드, 커패시터, 레지스터 등과 같은 장치들(도시하지 않음)이 반도체 기판(104)의 활성 표면에 그리고/또는 활성 표면 위에 형성될 수 있다. 타겟층(102)이 핀펫(finFETs)을 형성하는데 사용되는 반도체 기판인 다른 실시예에서, 반도체 기판(104)은 생략될 수 있다.
도 1은 반도체 기판(104)과 물리적으로 접촉하는 타겟층(102)을 도시하고 있으나, 많은 개재층들이 타겟층(102) 및 반도체 기판(104) 사이에 배치될 수 있다. 이러한 개재층들은 저-k 유전체를 포함하고 안에 형성되는 접촉 플러그들을 구비하는 금속간 유전(ILD)막, 안에 형성되는 전도성 라인들 및/또는 바이어(via)들을 구비하는 다른 IMD 층들, 하나 이상의 중간 층들(예컨대, 에칭 저지층들, 접착층들 등), 이들의 조합, 및 기타 유사한 종류를 포함할 수 있다. 예를 들어, 임의의 에칭 저지층(도시하지 않음)은 타겟층(102) 아래에 직접 배치될 수 있다. 에칭 저지층은 타겟층(102)에 이어서 수행되는 에칭 처리를 위해 중단(stop)으로 작용할 수 있다. 에칭 저지층을 형성하는데 사용되는 물질과 공정은 타겟층(102)의 물질에 의존할 수 있다. 일부의 실시예에서, 에칭 저지층은 실리콘 나이트라이드(silicon nitride), SiON, SiCON, SiC, SiOC, SiCxNy, SiOx, 다른 유전체들, 이들의 조합, 또는 기타 유사한 종류로 형성될 수 있고, 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition)(PECVD), 저압 CVD(LPCVD), 플라즈마 기상 증착(plasma vapor deposition)(PVD), 또는 기타 유사한 종류에 의해 형성될 수 있다.
막 스택은 또한 타겟층(102) 위에 형성된 반사 방지 코팅(anti-reflective coating)(ARC)(106)을 더 포함한다. ARC(106)는 포토레지스트 층들의 패터닝 동안 (아래에서 논의되는) 상부(overlying)의 포토레지스트 층들의 노출과 포커스를 돕는다. 일부의 실시예에서, ARC(106)는 SiON, 실리콘 칼바이드, 산소(O) 또는 질소(N) 도핑된 물질들, 또는 기타 유사한 종류로부터 형성될 수 있다. 일부의 실시예에서, ARC(106)는 실질적으로 질소가 없고, 산소로부터 형성될 수 있다. 이러한 실시예에서, ARC(106)는 질소가 없는 ARC(nitrogen-free ARC)(NFARC)로 지칭될 수도 있다. ARC(106)는 플라즈마 강화 화학 기상 증착(PECVD), 고밀도 플라즈마(High-Density Plasma)(HDP) 증착, 또는 기타 유사한 종류에 의해 형성될 수 있다.
막 스택은 ARC(106) 및 타겟층(102) 위에 형성된 하드 마스크 층(108)을 더 포함한다. 하드 마스크 층(108)은 금속(예컨대, 티타늄 나이트라이드(titanium nitride), 티타늄(titanium), 탄탈륨 나이트라이드(tantalum nitride), 탄탈륨(tantalum), 금속 도핑된 칼바이드(metal-doped carbide)(예컨대, 텅스텐 칼바이드(tungsten carbide), 또는 기타 유사한 종류) 및/또는 준금속(metalloid)(예컨대, 실리콘 나이트라이드, 보론 나이트라이드(boron nitride), 실리콘 칼바이드, 또는 기타 유사한 종류)을 포함하는 물질로 형성될 수 있고, PVD, 고주파 PVD(Radio Frequency PVD)(RFPVD), 원자층 증착(ALD), 또는 기타 유사한 종류에 의해 형성될 수 있다. 후속하는 처리 단계들에서, 패턴은 예시적인 패터닝 공정을 사용하는 하드 마스크 층(108)에 형성된다. 이후에 하드 마스크 층(108)은 타겟층(102)을 에칭하기 위해 에칭 마스크로서 사용되고, 여기서 하드 마스크 층(108)의 패턴은 타겟층(102)으로 전사된다.
막 스택은 하드 마스크 층(108) 위에 형성된 유전체층(110)을 더 포함한다. 유전체층(110)은 보로포스포실리케이트 테트라에틸오르토실리케이트(borophosphosilicate tetraethylorthosilicate)(BPTEOS) 또는 도핑되지 않은 테트라에틸오르토실리케이트(tetraethylorthosilicate)(TEOS) 옥사이드와 같은 실리콘 옥사이드로부터 형성될 수 있고, CVD, ALD, 스핀-온 코팅(spin-on coating) 또는 기타 유사한 종류에 의해 형성될 수 있다. 일부의 실시예에서, 유전체층(110)은 후속하여 형성되는 맨드렐들 및/또는 스페이서들(예컨대, 맨드렐들(124, 도 4 참조), 스페이서들(127, 도 13a 참조))을 패터닝하기 위해 에칭 저지층으로서 작용한다. 일부의 실시예에서, 유전체층(110)은 또한 반사 방지 코팅(anti-reflective coating)으로서 작용할 수도 있다.
막 스택은 제1 유전체 하드 마스크 층(108) 위에 형성된 맨드렐층(112)을 더 포함한다. 제1 맨드렐층(112)은 비정질 실리콘, 폴리실리콘, 실 리콘 나이트라이드, 실리콘 옥사이드, 또는 하부의 층, 예컨대 유전체층(110)과의 높은 에칭 선택도를 갖는 다른 물질과 같은 반도체로 형성될 수 있다.
3중층 포토레지스트(120)는 맨드렐층(112) 위의 막 스택에 형성된다. 3중층 포토레지스트(120)는 하부층(114), 하부층(114) 위의 중간층(116), 및 중간층(116) 위의 상부층(118)을 포함한다. 하부층(114) 및 상부층(118)은 유기 물질을 포함하는 포토레지스트(예컨대, 감광성 물질)로 형성될 수 있다. 일부의 실시예에서, 하부층(114)은 또한 하부 반사 방지 코팅(bottom anti-reflective coating)(BARC)층일 수 있다. 중간층(116)은 나이트라이드(실리콘 나이트라이드와 같은), 옥시나이트라이드(실리콘 옥시나이트라이드와 같은), 옥사이드(실리콘 옥사이드와 같은) 또는 기타일 수 있는 무기 물질을 포함할 수 있다. 중간층(116)은 상부층(118) 및 하부층(114)에 상대적으로 높은 에칭 선택도를 갖는다. 3중층 포토레지스트(120)의 다양한 층들은 예를 들어 스핀-온 공정을 사용하여 순차적으로 블랭킷 성막될 수 있다. 여기에서는 3중층 포토레지스트(120)가 논의되었지만, 다른 실시예에서, 포토레지스트(120)는 단층 또는 이중층(예컨대, 중간층(116) 없이 하부층(114) 및 상부층(118)만을 포함) 포토레지스트일 수 있다. 사용되는 포토레지스트의 유형(예컨대, 단일층, 이중층 또는 3중층)은 맨드렐층(112)을 패터닝하는데 사용되는 포토리소그래피 공정에 의존할 수 있다. 예를 들어, 최첨단 극자외선 (EUV) 리소그래피 공정에서, 단일층 또는 이중층 포토레지스트(120)가 사용될 수 있다.
일부의 실시예에서, 상부층(118)은 포토리소그래피 공정을 사용하여 패터닝된다. 후속하여, 상부층(118)은 중간층(116)의 패터닝을 위한 에칭 마스크로서 사용된다(도 2 참조). 그 다음, 중간층(116)은 하부층(114)의 패터닝을 위한 에칭 마스크로서 사용되고, 하부층(114)은 맨드렐층(112)을 패터닝하는데 사용된다(도 3 및 도 4 참조). 타겟층(예컨대, 맨드렐층(112))을 에칭하기 위해 3중층 포토레지스트(예컨대, 3중층 포토레지스트(120))를 사용함으로써, 미세한 피치의 패턴들에 개선된 형성이 타겟층(예컨대, 맨드렐층(112))에서 달성될 수 있음이 관찰되었다.
상부층(118)은 내부에 개구(122)들을 형성하기 위해 임의의 적합한 포토리소그래피 공정을 사용하여 패터닝된다. 상부층(118)의 개구(122)들을 패터닝하는 예로서, 포토마스크(도시하지 않음)가 상부층(118) 위에 배치될 수 있다. 그 다음, 상부층(118)은 포토마스크가 상부층(118)의 영역을 마스킹 하는 동안 자외선(UV), 또는 크립톤 플루오라이드(KrF) 엑시머 레이저로부터의 248 nm 빔, 아르곤 플루오라이드(ArF) 엑시머 레이저로부터의 193 nm 빔, 또는 F2 엑시머 레이저로부터의 157 nm 빔 또는 기타 유사한 종류와 같은 엑시머 라이저를 포함하는 방사선 빔에 노출될 수 있다. 상부 포토레지스트층의 노출은 해상도(resolution)를 증가시키고 달성 가능한 최소 피치를 감소시키기 위해 침지 리소그래피 시스템을 사용하여 수행될 수 있다. 베이킹 또는 경화 작업은 상부층(118)을 경화시키기 위해 수행될 수 있으며, 현상액은 포지티브(positive) 레지스트 또는 네거티브(negative) 레지스트가 사용되는지 여부에 따라 상부층(118)의 노출된 부분 또는 노출되지 않은 부분 중 어느 하나를 제거하는데 사용될 수 있다. 개구(122)들은 평면도(도시하지 않음)에서 스트립 형상을 가질 수 있다. 개구(122)들의 피치(P1)는 포토리소그래피 공정만을 사용하여 달성 가능한 최소 피치일 수 있다. 예를 들어, 일부의 실시예에서, 개구(122)들의 피치(P1)는 약 80 nm 이다. 개구(122)들의 다른 피치(P1)도 고려된다.
상부층(118)의 패터닝 후에, 에칭 공정에서 상부층(118)의 패턴이 중간층(116)으로 전사된다. 에칭 공정은 이방성이어서, 상부층(118)의 개구(122)들은 중간층(116)을 통해 연장되고, 상부층(118)에서와 같이 중간층(116)에서 거의 동일한 크기를 갖게 된다. 그 결과 구조가 도 2에 도시된다.
선택적으로, 트리밍 공정(도시하지 않음)은 중간층(116)의 개구(122)들의 크기를 증가시키기 위해 수행될 수 있다. 일 실시예에서, 트리밍 공정은 O2, CO2, N2/H2, H2 기타 유사한 종류, 이들의 조합, 또는 중간층(116)을 트리밍하는데 적합한 임의의 다른 가스를 포함하는 처리 가스들을 가진 이방성 플라즈마 에칭 공정이다. 트리밍은 개구(122)들의 폭(W1)을 증가시킬 수 있고 개구(122)들 사이에 중간층(116)의 부분들의 폭(W2)을 감소시킬 수 있다. 폭(W2)에 대한 폭(W1)의 원하는 비율을 달성하도록 트리밍 공정이 수행됨으로써, 후속하여 형성된 라인들이 균일하게 이격된다. 다른 실시예들에서, 중간층(116)은 폭(W2)에 대한 폭(W1)의 원하는 비율을 갖도록 초기에 패터닝되고, 트리밍 공정은 생략될 수 있다.
도 3에서, 에칭 공정은 중간층(116)의 패턴을 하부층(114)으로 전사하도록 수행되고, 이에 따라 개구(122)들을 하부층(114)을 통해 연장시킨다. 하부층(114)의 에칭 공정은 이방성이어서, 중간층(116)의 개구(122)들은 하부층(114)을 통해 연장되고 하부층(114)에서와 같이 중간층(116)에서 거의 동일한 크기를 갖는다. 하부층(114)을 에칭하는 일부로서, 상부층(118)(도 1 및 도 2 참조)이 소모될 수 있다.
도 4에서, 하부층(114)(도 3 참조)의 패턴은 에칭 공정을 사용하여 맨드렐층(112)으로 전사된다. 맨드렐층(112)의 에칭 공정은 이방성이어서, 하부층(114)의 개구(122)들은 맨드렐층(112)을 통해 연장되고 하부층(114)에서와 같이 맨드렐층(112)에서 동일한 크기를 갖는다. 따라서, 맨드렐(124)들은 맨드렐층(112)의 나머지 부분(예컨대, 개구(122)들 사이의 맨드렐층(112) 부분들)으로부터 형성된다. 맨드렐(124)들은 피치(P1)를 갖는다(도 1 참조). 일부의 실시예에서, 피치(P1)는 포토리소그래피 공정을 사용하여 달성 가능한 최소 피치이다. 맨드렐층(112)을 에칭하는 동안, 중간층(116)이 소모되고, 하부층(114)은 적어도 부분적으로 소모될 수 있다. 맨드렐층(112)을 에칭하는 동안 하부층(114)이 완전히 소모되지 않는 실시예에서, 애싱(ashing) 공정이 하부층(114)의 나머지 잔류물을 제거하도록 수행될 수 있다.
도 5에서, 스페이서층(126)은 맨드렐(124)들의 측벽들 위에 그리고 측벽들을 따라 형성된다. 스페이서층(126)은 또한 개구(122)들에서 유전체층(110)의 상부면들을 따라 연장될 수 있다. 스페이서층(126)의 물질은 유전체층(110) 및 맨드렐(124)들과 높은 에칭 선택도를 갖도록 선택된다. 예를 들어, 스페이서층(126)은, AlO, AlN, AlON, TaN, TiN, TiO, Si, SiO, SiN, 금속, 금속 합금, 및 기타 유사한 종류를 포함할 수 있으며, ALD, CVD 또는 기타 유사한 종류와 같은 임의의 적합한 공정을 사용하여 성막될 수 있다. 일부의 실시예에서, 스페이서층(126)의 성막 공정은 컨포멀(conformal)이어서, 맨드렐(124)들의 측벽들 상의 스페이서층(126)의 두께는, 맨드렐(124)들의 상부면 및 개구(122)들의 바닥면들 상에 스페이서층(126)의 두께와 실질적으로 동일하다(예컨대, 제조 허용오차 이내에서). 다양한 실시예에서, 스페이서층(126)의 측벽들 사이의 개구(122)들의 영역들은 라인 패턴들을 형성한다. 라인 패턴들은 타겟층(102)에 후속하여 형성된 패터닝된 피쳐들(예컨대, 전도성 라인들(142/144))의 원하는 위치에 대응할 수 있다 (예컨대, 도 17a 및 도 17b 참조).
도 6a, 도 6b, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a, 도 9b, 도 10a, 도 10b, 도 11a, 도 11b, 도 12a, 도 12b, 도 13a 및 도 13b에서, 패터닝된 희생 물질(예컨대 희생 물질(138), 도 11a 및 도 11b 참조)은 스페이서층(126)의 선택된 부분들 상에 형성된다. 특히, 패터닝된 희생 물질은 라인 패턴들의 선택 영역들(스페이서층(126)의 측벽들 사이의 개구(122)들의 영역, 도 5 참조)에 성막된다. 패터닝된 희생 물질은 타겟층(102)을 에칭하기 위한 원하는 패턴을 더 형성하는데 사용될 수 있다. 예를 들어, 패터닝된 희생 물질은 타겟층(102)에 패터닝된 피쳐들(예컨대, 전도성 라인들)이 형성되지(예컨대, 절단되지) 않은 영역들을 형성하도록 성막될 수 있다(예컨대, 도 17a 및 도 17b 참조). 도 6a, 도 6b, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a, 도 9b, 도 10a, 도 10b, 도 11a, 도 11b, 도 12a, 도 12b, 도 13a 및 도 13b 전반에 걸쳐, 도면 부호 "a"로 끝나는 도면들은 도 1 내지 도 5와 같이 동일한 단면도를 도시하고, 도면 부호 "b"로 끝나는 도면들은 각각의 도 6a, 도 7a, 도 8a, 도 9a, 도 10a, 도 11a, 도 12a 및 도 13a의 라인 B-B를 따라 취해진 단면도를 도시한다. 예를 들어, 도 6b는 도 6a의 라인 B-B를 따라 취한 단면도를 도시한다; 도 7b는 도 7a의 라인 B-B를 따라 취해진 단면도를 도시한다; 기타 등등.
도 6a 및 도 6b를 먼저 참조하면, 3중층 포토레지스트(134)가 스페이서층(126) 위에 형성된다. 3중층 포토레지스트(134)는 라인 패턴들에 스페이서층(126)의 측벽들 사이와 같은 맨드렐(124)들 사이에 성막될 수 있다. 3중층 포토레지스트(134)는 하부층(128), 하부층(128) 위의 중간층(130) 및 중간층(130) 위의 상부층(132)을 포함한다. 하부층(128) 및 상부층(132)은 유기 물질들을 포함하는 포토레지스트(예를 들어, 감광성 물질)로 형성될 수 있다. 일부의 실시예에서, 하부층(128)은 또한 BARC 층일 수 있다. 중간층(130)은 나이트라이드(실리콘 나이트라이드와 같은), 옥시나이트라이드(실리콘 옥시나이트라이드와 같은), 옥사이드(실리콘 옥사이드와 같은), 또는 기타 유사한 종류일 수 있는 무기 물질들을 포함할 수 있다. 중간층(130)은 상부층(132) 및 하부층(128)에 상대적으로 높은 에칭 선택도를 갖는다. 3중층 포토레지스트(134)의 다양한 층들은 예를 들어 스핀-온(spin-on) 공정들을 사용하여 연속적으로 블랭킷(blanket) 성막될 수 있다.
일부의 실시예에서, 상부층(132)은 포토리소그래피 공정을 사용하여 패터닝되고, 후속하여 중간층(130)의 패터닝을 위한 에칭 마스크로서 사용된다(도 7a 및도 7b 참조). 그 다음, 중간층(130)은 하부층(128)의 패터닝을 위한 에칭 마스크로서 사용된다(도 7a 및도 7b 참조). 상부층(132)은 내부에 개구(136)들을 형성하기 위해 임의의 적합한 포토리소그래피 공정을 사용하여 패터닝된다. 상부층(132)에 개구(136)들을 패터닝하는 예시로서, 포토마스크(도시되지 않음)가 상부층(132) 위에 배치될 수 있다. 그 다음, 상부층(132)은 포토마스크가 상부층(132)의 영역을 마스킹하는 동안 자외선(UV), 또는 크립톤 플루오라이드(KrF) 엑시머 레이저로부터의 248 nm 빔, 아르곤 플루오라이드(ArF) 엑시머 레이저로부터의 193 nm 빔, 또는 F2 엑시머 레이저로부터의 157 nm 빔 또는 기타 유사한 종류와 같은 엑시머 라이저를 포함하는 방사선 빔에 노출될 수 있다. 상부 포토레지스트층의 노출은 해상도를 증가시키고 달성 가능한 최소 피치를 감소시키기 위해 침지 리소그래피 시스템을 사용하여 수행될 수 있다. 베이킹 또는 경화 작업은 상부층(132)을 경화시키기 위해 수행될 수 있으며, 현상액은 포지티브(positive) 레지스트 또는 네거티브(negative) 레지스트가 사용되는지 여부에 따라 상부층(132)의 노출된 부분 또는 노출되지 않은 부분 중 어느 하나를 제거하는데 사용될 수 있다. 개구(136)들의 패턴은 후속하여 형성된 희생 물질의 원하는 패턴에 대응할 수 있다. 예를 들어, 3중층 포토레지스트(134)는 후속하여 형성된 희생 물질(예컨대, 희생 물질(138), 도 9a 및 도 9b 참조)의 형상을 형성하는데 사용될 수 있다.
상부층(132)을 패터닝 한 후, 에칭 공정에서 상부층(132)의 패턴이 중간층(130)으로 전사된다. 에칭 공정은 이방성이어서, 상부층(132)의 개구(136)들은 중간층(130)을 통해 연장되고, 상부층(132)에서와 같이 중간층(130)에서 거의 동일한 크기를 갖는다. 그 결과 구조가 도 7a 및 도 7b에 도시된다.
도 7a 및 도 7b에서, 중간층(130)을 패터닝 한 후, 중간층(130)의 패턴을 하부층(128)으로 전사하여, 이에 따라 하부층(128)을 통해 개구(136)들을 연장시키는 에칭 공정이 수행된다. 하부층(128)을 에칭하는 일부로서, 상부층(132)(도 6a 및 도 6b 참조)이 소모될 수 있다.
도 8a 및 도 8b에서, 희생 물질(138)(때로는 반전 물질(reverse material)로도 지칭됨)이 하부층(128) 위에 그리고 개구(136)들 안으로 성막된다. 일부의 실시 예에서, 희생 물질(138)은 또한 중간층(130) 위에 성막된다. 다른 실시예에서(도시하지 않음), 중간층(130)은 희생 물질(138)을 성막하기 이전에 제거된다. 중간층(130)을 제거하는 것은 적절한 건식 에칭 공정 또는 건식 및 습식 에칭 공정의 조합을 포함할 수 있다. 이러한 실시예에서, 희생 물질(138)은 하부층(128)의 최상부면 상에 직접 (예를 들어, 직접 접촉하여) 형성될 수 있다.
다양한 실시예에서, 희생 물질(138)은 무기 물질을 포함한다. 예를 들어, 희생 물질(138)은 티타늄 옥사이드, 탄탈륨 옥사이드, 실리콘 옥사이드, 및 기타 유사한 종류와 같은 무기 산화물일 수 있다. 일부의 실시예에서, 무기 물질은 저온 산화물(low temperature oxide)(LTO)이다. 여기에서 사용된 바와 같이, 용어 "LTO"는 상대적으로 낮은 공정 온도(예를 들어, 200℃ 이하)를 사용하여 성막된 옥사이드를 지칭한다. 이러한 실시예에서, 저온 성막 공정은 하부층(128)에 심각한 손상을 유발하지 않는다는 것이 관찰되었다. 희생 물질(138)은 동일한 에칭 공정에 대해 스페이서층(126)에 충분한 에칭 선택도를 갖도록 선택될 수 있다. 예를 들어, 일부의 실시예에서 동일한 에칭 공정에 대하여 스페이서층(126)의 에칭 속도에 대한 희생 물질(138)의 에칭 속도의 비율은 적어도 0.7이다. 동일한 에칭 공정에 대하여 스페이서층(126)의 에칭 속도에 대한 희생 물질(138)의 에칭 속도의 비율이 상기 범위 내에 있을 때, 패터닝 공정에서 증가된 신뢰도가 달성될 수 있다는 것이 관찰되었다.
희생 물질(138)은 CVD, PVD, ALD, 또는 기타 유사한 종류와 같은 반도체 막 증착 공정을 사용하여 형성될 수 있다. 반도체 막 증착 공정은 컨포멀(conformal) 공정일 수 있고, 개구(136)들의 측벽들 및 바닥면에 형성된다. 성막이 계속되면, 개구(136)들의 대향 측벽들 상의 희생 물질(138)의 부분들이 합쳐질 수 있고, 개구(136)들을 채운다. 반도체 막 증착 공정의 결과로서, 희생 물질(138)의 상부면은 평탄하지 않을 수 있다. 예를 들어, 디봇(138a)들은 개구(136)들 내에 및/또는 개구(136)들 위에서 희생 물질(138)의 상부면에 존재할 수 있다.
스핀-온 공정들과 비교하여, 반도체 막 증착 공정들은 희생 물질(138)이 개선된 갭 충진 및 더 적은 결함(예를 들어, 희생 물질(138)의 버블링이 덜함)으로 개구(136)들에 채워지는 것을 허용한다. 희생 물질(138)의 성막 이전에 중간층(130)이 제거되는 실시예에서, 결함들의 감소가 특히 주요할 수 있다. 임의의 특정 이론에 결부되어 있지는 않지만, 결함들의 이러한 추가적인 감소는 희생 물질(138)의 성막을 위한 중간층(130)에 비교하여 더 양호한 표면을 제공하는 (예를 들어, 보다 적은 꼬임(kink)들을 갖는) 하부층(128)의 결과일 수 있다.
다음으로, 도 9a 및 도 9b에서, 평탄화 공정(예를 들어, 화학적 기계적 연마(CMP), 건식 에칭, 이들의 조합, 또는 기타 유사한 종류)이 수행되어 개구(136)들의 외부에 희생 물질(138)의 초과 부분들을 제거한다. 희생 물질(138)이 중간층(130) 위에 형성되는 실시예에서, 평탄화 공정은 또한 중간층(130)을 제거할 수 있다. 평탄화 공정 이후에, 하부층(128)이 노출되고, 희생 물질(138)의 상부면들 및 하부층(128)은 동일 평면 상에 있을 수 있다. 일부의 실시예에서, 평탄화 공정은 또한 희생 물질(138)에 형성된 디봇(138a)들(도 8a 및 도 8b 참조)을 제거할 수 있다.
도 10a 및 도 10b에서, 하부층(128)은 애싱 공정을 사용하여 제거된다. 하부층(128)이 제거된 후에, 희생 물질(138)의 필라(pillar)들이 남는다. 나머지 희생 물질(138)은 스페이서층(126)의 선택 영역을 마스킹한다. 일부의 실시예에서, 희생 물질(138)은 제1 맨드렐(124) 상의 스페이서층(126)의 제1 측벽부로부터 제2 맨드렐(24) 상의 스페이서층(126)의 제2 측벽부에 걸쳐 연장될 수 있다.
도 11a 및 도 11b에서, 희생 물질(138)은 원하는 프로파일을 달성하기 위해 트리밍된다. 일부의 실시예에서, 희생 물질(138)을 트리밍하는 것은 맨드렐(124)들의 상부면 아래와 같은, 스페이서층(126)의 최상부면 아래의 희생 물질(138)을 리세싱한다. 희생 물질(138)을 트리밍하는 것은 맨드렐(124)들 위의 스페이서층(126)의 부분들을 노출시킬 수 있다(도 11a 참조). 일부의 실시예에서, 희생 물질(138)을 트리밍하는 것은 적어도 단면 B-B(도 11b 참조)에서 희생 물질(138)의 폭을 더 감소시킨다.
희생 물질(138)을 트리밍하는 것은 건식 에칭 공정 또는 건식 및 습식 에칭 공정의 조합을 포함할 수 있다. 희생 물질(138)을 트리밍하기 위한 건식 에칭 공정 실시예는 탄소-플루오로-계 에칭액(carbon-fluro-based etchants)(예컨대, CF4)을 사용하는 것을 포함할 수 있다. 다른 처리 가스는 산소(O2), 질소(N2), 아르곤(Ar), 이들의 조합, 또는 기타 유사한 종류와 같이, 탄소-플루오로-계 에칭액과 조합하여 사용될 수 있다. 희생 물질(138)을 트리밍하기 위한 습식 에칭 공정 실시예는 희석된 플루오르화 수소산(diluted hydrofluoric acid)을 에칭액으로서 사용하는 것을 포함할 수 있다. 희생 물질(138)의 원하는 형상은, 예를 들어, 에칭 트리밍 공정의 지속 기간을 제어함으로써 달성될 수 있다.
후속하여, 에칭 공정이 수행되어 스페이서층(126)의 노출된 수평 부분들을 제거하여 맨드렐(124)들을 노출시킨다. 스페이서층(126)의 수직 부분들은 에칭 후에 남아 있고 이하에서는 스페이서들(127)로 지칭된다. 또한, 에칭 후에 스페이서층(126)의 마스킹된 부분들(예를 들어, 희생 물질(138)에 의해 마스킹된 영역들)이 남아있을 수도 있다. 일부의 실시예에서, 스페이서층(126)을 에칭하는 것은 적합한 에칭액, 예컨대 CH4, Cl2, 이들의 조합, 및 기타 유사한 종류를 사용하는 건식 에칭 공정이다. 건식 에칭 공정 동안 에칭액과 함께 조합되어 다른 처리 가스, 예를 들어 질소(N2)가 사용될 수 있다. 건식 에칭 공정은 이방성일 수 있고 스페이서층(126)(스페이서들(127)) 또는 희생 물질(138)의 수직 부분들을 상당히 제거하지 않고 스페이서층(126)의 노출된 측면 부분들을 에칭할 수 있다. 결과적인 구조가 도 12a 및 도 12b에 도시된다.
도 13a 및 도 13b에서, 맨드렐(124)들은 에칭 공정을 사용하여 제거된다. 맨드렐(124)들, 스페이서층(126) 및 희생 물질(138)은 동일한 에칭 공정에 대해 에칭 선택도를 가지기 때문에, 스페이서들(127) 또는 희생 물질(138)을 제거하지 않고 맨드렐(124)들이 제거될 수 있다. 맨드렐(124)들을 에칭하는 것은 밑에 있는 유전체층(110)을 노출시키고, 이는 에칭 중지층으로서 작용할 수 있다.
도 13a 및 13B는 모든 맨드렐(124)들이 제거되는 것을 도시하지만, 다양한 실시예는 또한 맨드렐(124)들의 선택적 제거를 고려한다. 예를 들어, 타겟층(102)이 형성되는 웨이퍼의 특정 영역(예를 들어, 디바이스 레이아웃에 따라)에서, 맨드렐(124)들은 형성 및/또는 제거되지 않을 수 있다. 맨드렐(124)들의 선택적 제거를 달성하기 위하여, 맨드렐(124)들, 스페이서들(127) 및 희생 물질(138) 위에 포토레지스트(명시적으로 도시되지 않음)가 성막될 수 있다. 포토레지스트는, 포토레지스트(120, 도 1-3 참조)와 유사할 수 있고, 포토레지스트의 개구들은 맨드렐(124)들의 다른 영역들을 제거로부터 마스킹하는 동안 맨드렐(124)들이 제거되는 영역을 노출시킬 수 있다. 후속하여, 포토레지스트는 애싱 단계를 사용하여 제거될 수 있다.
맨드렐(124)들의 일부 또는 전부가 제거된 후에, 스페이서들(127)은 피치(P2)를 가질 수 있다. 전술한 바와 같은 SADP 공정이 적용되는 실시예에서, 피치(P2)는 포토리소그래피 공정에 의해 달성 가능한 최소 피치의 절반이다. 스페이서들(127) 및 희생 물질(138)의 조합은 하드 마스크 층(108)을 위한 패턴을 형성한다. 도 14는 스페이서들(127) 및 희생 물질(138)에 의해 형성된 패턴의 평면도를 도시한다. 도 13a는 도 14의 라인 A-A를 따라 취해진 단면도를 도시하고, 도 13b는 도 14의 라인 B-B를 따라 취해진 단면도를 도시한다. 일부의 실시예에서, 스페이서들(127) 및 희생 물질(138)에 의해 형성된 패턴은 후속하여 형성된 전도성 라인들의 음전기(negative)이다. 예를 들어, 스페이서들(127) 및 희생 물질(138)에 의해 형성된 패턴 주변의 공간은 후속하여 형성된 전도성 라인들의 패턴에 대응한다. 도 14에 도시된 바와 같이, 희생 물질(138)은 인접한 스페이서들(127) 사이의 공간에 걸쳐 연장되고, 희생 물질(138)은 네거티브 패턴이 절단되는 영역들을 형성한다. 예를 들어, 희생 물질(138)은 후속하여 형성된 전도성 라인들 사이의 영역들을 형성할 수 있다.
도 15a, 도 15b, 도 16a, 도 16b, 도 17a 및 도 17b는 스페이서들(127) 및 희생 물질(138)에 의해 형성된 패턴에 기초하여 타겟층(102)에서 피쳐들을 패터닝 및 성막하는 단면도를 도시한다. 도 15a, 도 15b, 도 16a, 도 16b, 도 17a 및 도 17b에 도시된 바와 같이, 타겟층(102)은 유전체층이고, 패터닝된 전도성 피쳐들이 유전체층에 형성된다. 도면 부호 "a"로 끝나는 도면들은 도 14의 라인 A-A를 따른 단면도를 나타내고, 도면 부호 "b"로 끝나는 도면들은 도 14의 라인 B-B를 따른 단면도를 나타낸다.
도 15a 및 도 15b를 먼저 참조하면, 스페이서들(127) 및 희생 물질(138)을 에칭 마스크로 사용하여 유전체층(110)(도 13a 및 도 13b 참조) 및 하드 마스크 층(108)이 순차적으로 에칭된다. 임의의 제거되지 않은 맨드렐(124)들(도시되지 않음)은 또한 하드 마스크 층(108)을 패터닝하도록 에칭 마스크로서 사용될 수 있다. 따라서, 하드 마스크 층(108)은 스페이서들(127) 및 희생 물질(138)과 같이 평면도에서 동일한 패턴을 가질 수 있다(예컨대, 도 14 참조). 일부의 실시예에서, 하드 마스크 층(108)을 에칭하는 것은 이방성 건식 에칭 및/또는 습식 에칭을 포함한다. 하드 마스크 층(108)이 패터닝된 후, 스페이서들(127), 희생 물질(138) 및 유전체층(110)의 임의의 나머지 부분들을 제거하도록 습식 세정이 수행될 수 있다.
후속하여, 도 16a 및 도 16b에서, 하드 마스크 층(108)은 타겟층(102)에 개구(140)들을 패터닝하도록 에칭 마스크로서 사용된다. 타겟층(102)을 에칭하는 것은 이방성 건식 에칭 공정 및/또는 습식 에칭 공정을 포함할 수 있고, ARC(106)를 통해 타겟층(102)을 순차적으로 에칭한다. 타겟층(102)의 나머지 부분들은 도 14의 스페이서들(127) 및 희생 물질(138)과 동일한 패턴을 가질 수 있다. 개구(140)들이 패터닝 된 후, 하드 마스크 층(108)의 임의의 나머지 부분들 및 ARC(106)를 제거하도록 습식 세정이 수행될 수 있다.
개구(140)들이 타겟층(102)에 패터닝된 후, 피쳐들이 개구들에 형성될 수 있다. 일 실시예에서, 타겟층(102)은 저-k 유전체이고, 패터닝된 타겟층(102)은 상호 연결 구조를 위한 IMD를 제공한다. 구리 라인, 구리 바이어(via)들 및/또는 코발트 플러그와 같은 전도성 피쳐들은 도 17a 및 도 17b에 도시된 바와 같이 IMD층에 형성될 수 있다. 전도성 피쳐들을 형성하는 것은 개구(140)들의 측벽들 및 바닥면을 따라 하나 이상의 라이너(142)들을 성막하는 것을 포함할 수 있다 (도 16a 및도 16b 참조). 라이너(142)들는 TiO, TiN, TaO, TaN, 또는 기타 유사한 종류를 포함할 수 있으며 전도성 피쳐들을 위한 확산 장벽, 접착 및/또는 시드 층들을 제공할 수 있다. 라이너들은 PVD, CVD, ALD, 및 기타 유사한 종류와 같은 임의의 적합한 공정들을 사용하여 성막될 수 있다.
라이너(142)들이 성막된 후에, 개구의 나머지 부분들은 예컨대, PVD, 도금, 또는 기타 유사한 종류를 사용하여 구리와 같은 전도성 물질(144)로 채워질 수 있다. 전도성 물질(144)은 개구(140)들을 과도하게 채우도록 초기에 성막될 수 있고(도 16a 및 16B 참조), 타겟층(102) 위에 전도성 물질(144)의 초과 부분들을 제거하도록 평탄화 공정이 수행된다. 따라서, 전도성 피쳐들은 타겟층(102)에 형성될 수 있다. 타겟층(102)에 전도성 피쳐들이 전도성 라인들인 실시예에서, 희생 물질(138)(도 14 참조)은 전도성 라인들이 절단되는 영역들을 형성한다. 여기에서 논의되는 실시예를 적용함으로써, 40 nm 이하 또는 30 nm 이하의 간격을 갖는 전도성 라인들이 보다 적은 제조 결함 및 증가된 수율로 신뢰성 있게 형성될 수 있다.
도 18a, 도 18b, 도 19a, 도 19b, 도 20a 및 도 20b는 다른 실시예에 따라 스페이서들(127) 및 희생 물질(138)에 의해 형성된 패턴에 기초하여 타겟층(102)을 패터닝하는 단면도를 도시한다. 도 18a, 도 18b, 도 19a, 도 19b, 도 20a 및 도 20b의 실시예에서, 타겟층(102)은 반도체층 또는 전도성층이고, 타겟층(102)은 피쳐들(예컨대, 핀(fin)들 및/또는 게이트(gate)들)를 형성하도록 패터닝된다. 도면 부호 "a"로 끝나는 도면들은 도 14의 라인 A-A를 따른 단면도를 나타내고, 도면 부호 "b"로 끝나는 도면들은 도 14의 라인 B-B를 따른 단면도를 나타낸다.
도 18a 및 도 18b는 하드 마스크 층(108)이 예시적인 패터닝 단계들에 따라 패터닝된 후에 디바이스를 도시한다. 도 18a 이전의 다양한 처리 단계들은 도 1 내지도 15b와 관련하여 상술한 바와 동일하며, 간결성을 위해 여기서는 생략된다.
도 18a 및 도 18b에 의해 추가로 도시된 바와 같이, 추가의 하드 마스크 층(180)은 하드 마스크 층(108)이 패터닝된 후에 성막될 수 있다. 하드 마스크 층(180)은 하드 마스크 층(108)을 둘러싸도록 하드 마스크 층(108)을 통해 연장하는 개구들에 성막될 수 있다. 하드 마스크 층(180)은 금속(예를 들어, 티타늄 나이트라이드, 티타늄 옥사이드, 티타늄, 탄탈륨 나이트라이드, 탄탈륨 옥사이드, 탄탈륨, 금속 도핑 칼바이드(예컨대, 텅스텐 칼바이드), 또는 기타 유사한 종류) 및/또는 준금속(예컨대, 실리콘 나이트라이드, 실리콘 옥사이드, 보론 나이트라이드, 실리콘 칼바이드, 또는 기타 유사한 종류)을 포함할 수 있고, PVD, 고주파 PVD(RFPVD), 원자층 증착(ALD), 또는 기타 유사한 종류에 의해 형성될 수 있다. 그러나, 하드 마스크 층(180) 및 하드 마스크 층(108)의 물질이 상이함으로써, 하드 마스크 층(180)의 물질이 동일한 에칭 공정에 대해 하드 마스크 층(108)의 물질에 선택적으로 에칭된다. 하드 마스크 층(180)이 성막된 후, 평탄화 공정(예를 들어, CMP 또는 건식 에칭 공정)이 수행되어 하드 마스크 층(108)을 노출시킬 수 있다.
도 19a 및 도 19b에서, 하드 마스크 층(108)은 적절한 건조 및/또는 습식 공정을 사용하여 제거된다. 하드 마스크 층(108)과 하드 마스크 층(180)의 물질이 상이하고 에칭 선택도를 가지므로, 하드 마스크 층(180)을 상당히 제거하지 않고 하드 마스크 층(108)이 제거될 수 있다. 따라서, 하드 마스크 층(108)과 같이 네거티브(예컨대, 반전된) 패턴을 갖는 하드 마스크 층(180)이 제공된다.
후속하여, 도 20a 및 도 20b에서, 하드 마스크 층(180)은 타겟층(102)을 패터닝하기 위한 에칭 마스크로서 사용된다. 타겟층(102)을 에칭하는 것은 이방성 건식 에칭 공정 및/또는 습식 에칭 공정을 포함할 수 있으며, ARC(106)를 통해 타겟층(102)을 순차적으로 에칭한다. 타겟층(102)의 나머지 부분들은 도 14의 스페이서들(127) 및 희생 물질(138)과 같이 네거티브 패턴을 가질 수 있다. 타겟층(102)이 패터닝된 후, 습식 세정은 하드 마스크 층(180)의 임의의 남아있는 부분들 및 ARC(106)를 제거하도록 수행된다. 따라서, 타겟층(102)이 반도체층 또는 전도성층일 때, 핀 및/또는 게이트 구조들이 타겟층(102)에 형성될 수 있다.
도 21a, 도 21b, 도 22a, 도 22b, 도 23a, 도 23b, 도 24a, 도 24b, 도 25a, 도 25b, 도 26a, 도 26b, 도 27a, 도 27b, 도 28a, 도 28b, 도 29a, 도 29b 및 도 30은 일부의 실시예들에 따른 반도체 장치(200)를 제조하는 다양한 중간 단계들을의 단면도를 도시한다. 반도체 장치(200)는 반도체 장치(100)와 유사할 수 있으며, 같은 도면 부호들은 동일한 공정들을 사용하여 형성된 유사한 요소들을 나타낸다. 도 21a, 도 21b, 도 22a, 도 22b, 도 23a, 도 23b, 도 24a, 도 24b, 도 25a, 도 25b, 도 26a, 도 26b, 도 27a, 도 27b, 도 28a, 도 28b, 도 29a, 및 도 29b 전반에 걸쳐, 도면 부호 "a"로 끝나는 도면들은 동일한 단면도를 도시하고, 도면 부호 "b"로 끝나는 도면들은 각각의 도 21a, 도 22a, 도 23a, 도 24a, 도 25a, 도 26a, 도 27a, 도 28a 및 도 29a의 라인 B-B를 따라 취해진 단면도를 도시한다. 예를 들어, 도 21b는 도 21a의 라인 B-B를 따라 취해진 단면도를 도시한다; 도 22b는 도 22a의 라인 B-B를 따라 취한 단면도를 도시한다; 기타 등등.
도 21a 및 도 21b에서, 희생 물질(138)(때로는 반전 물질로도 지칭됨)이 하부층(128) 위에 및 개구(136)들 내로 성막된다. 도 21a에 앞서 반도체 장치(200)의 피쳐들을 형성하기 위한 처리 단계들은 도 1 내지 도 7b와 관련하여 전술한 공정들과 실질적으로 동일할 수 있고, 따라서, 이들 공정들의 추가적인 설명은 간결성을 위해 생략된다. 일부의 실시예에서, 희생 물질(138)은 또한 중간층(130) 위에 성막된다. 다른 실시예(도시하지 않음)에서, 희생 물질(138)을 성막하기 전에 중간층(130)이 제거된다. 중간층(130)을 제거하는 것은 적합한 건식 에칭 공정 또는 건식 및 습식 에칭 공정의 조합을 포함할 수 있다. 이러한 실시예에서, 희생 물질(138)은 하부층(128)의 최상부면 상에 직접 (예를 들어, 직접 접촉하여) 형성될 수 있다.
다양한 실시예에서, 희생 물질(138)은 티타늄 옥사이드, 탄탈륨 옥사이드, 실리콘 옥사이드, 및 기타 유사한 종류와 같은 무기 물질을 포함한다. 이러한 실시예에서, 무기 물질은 저온 산화물(LTO)이고, 상대적으로 낮은 공정 온도(예를 들어, 200 ℃ 이하)를 사용하여 성막된다. 이러한 실시예에서, 저온 성막 공정은 하부층(128)에 심각한 손상을 유발하지 않는다. 희생 물질(138)은 동일한 에칭 공정에 대해 스페이서층(126)에 충분한 에칭 선택도를 갖도록 선택될 수 있다. 예를 들어, 일부의 실시예에서 동일한 에칭 공정에 대하여 스페이서층(126)의 에칭 속도에 대한 희생 물질(138)의 에칭 속도의 비율은 적어도 0.7이다.
희생 물질(138)은 CVD, PVD, ALD, 또는 기타 유사한 종류와 같은 반도체 막 증착 공정을 이용하여 형성될 수 있다. 반도체 막 증착 공정은 컨포멀(conformal) 공정일 수 있고, 개구(136)들의 측벽들 및 바닥면에 형성된다. 성막이 계속되면, 개구(136)들의 대향 측벽들 상의 희생 물질(138)의 부분들이 합쳐질 수있고, 개구(136)들을 채운다. 반도체 막 증착 공정의 결과로서, 희생 물질(138)의 상부면은 평탄하지 않을 수 있다. 예를 들어, 디봇(138b)들은 개구(136)들에 및/또는 개구(136)들 위에 희생 물질(138)의 상부면에 존재할 수 있다. 반도체 장치(100)(도 8a 및 도 8b 참조)의 디봇(138a)들과 비교하여, 디봇(138b)들이 더 클 수 있다. 예를 들어, 디봇(138b)들은 중간층(130)(존재한다면)의 상부면 아래 및/또는 하부층(128)의 상부면 아래에서 연장될 수 있다.
더 큰 디봇(138b)들은 희생 물질(138)을 성막하기 위한 성막 공정의 파라미터들을 제어함으로써 형성될 수 있다. 예를 들어, 디봇(138b)들의 크기는 희생 물질(138)에 대한 적절한 두께 대 개구(136)들의 폭(W3)을 선택함으로써 제어될 수 있다. 일반적으로, 개구(136)들의 폭(W3)에 대한 희생 물질(138)의 두께(T1)의 비율은 개구(136)들에 희생 물질(138)의 충분한 갭 충진을 허용하도록 적어도 0.5 이다. 또한, 폭(W3)에 대한 두께(T1)의 비율을 증가시킴으로써, 디봇(138b)들 (또는 도 8a 및 도 8b의 디봇(138a)들)은 더 작아진다 (예를 들어, 더 얕아지고 개구(136)들 안으로 덜 멀리 연장된다). 대조적으로, 이러한 폭(W3)에 대한 두께(T1)의 비율을 감소시킴으로써, 디봇(138b)들 (또는 도 8a 및 도 8b의 디봇(138a)들)은 더 커진다 (예를 들어, 더 깊어지고 개구(136)들 내로 더 연장된다). 이와 같이, 희생 물질(138)의 디봇들의 크기는 폭(W3)에 대한 두께(T1)의 비율을 제어함으로써 제어될 수 있다.
다음으로, 도 22a 및 도 22b에서, 평탄화 공정(예를 들어, CMP, 건식 에칭, 또는 기타 유사한 종류)이 수행되어 개구(136)들 외부의 희생 물질(138)의 초과 부분들을 제거한다. 희생 물질(138)이 중간층(130) 위에 형성되는 실시예에서, 평탄화 공정은 또한 중간층(130)을 제거할 수 있다. 평탄화 공정 후에, 하부층(128)이 노출되고, 희생 물질(138)의 상부면들 및 하부층(128)은 동일 평면 상에 있을 수 있다. 디봇(138b)들이 상대적으로 크기 때문에, 평탄화 공정은 희생 물질(138)의 디봇(138b)들을 제거하지 않을 수 있다. 도 22a 및 도 22b에 도시된 바와 같이, 디봇(138b)들은 평탄화 공정 이후에 남아있을 수 있다.
도 23a 및 도 23b에서, 하부층(128)은 애싱 공정을 사용하여 제거된다. 하부층(128)이 제거된 후에, 희생 물질(138)의 필라(pillar)들이 남아 있다. 일부의 실시예에서, 나머지 희생 물질(138)은 스페이서층(126)의 선택 영역을 마스킹한다. 일부의 실시예에서, 희생 물질(138)은 제1 맨드렐(124) 상의 스페이서층(126)의 제1 측벽부로부터 제2 맨드렐(24) 상의 스페이서층(126)의 제2 측벽부에 걸쳐 연장될 수 있다.
도 24a 및 도 24b에서, 희생 물질(138)은 원하는 프로파일을 달성하기 위해 트리밍된다. 일부의 실시예에서, 희생 물질(138)을 트리밍하는 것은 맨드렐(124)들의 상부면 아래와 같은, 스페이서층(126)의 최상부면 아래에 희생 물질(138)을 리세싱한다. 희생 물질(138)을 트리밍하는 것은 맨드렐(124)들 위의 스페이서층(126)의 부분들을 노출시킬 수 있다(도 24a 참조). 일부의 실시예에서, 희생 물질(138)을 트리밍하는 것은 적어도 단면 B-B(도 24b 참조)에서 희생 물질(138)의 폭을 더 감소시킨다. 트리밍 공정은 트리밍된 구조의 디봇(138b)들의 형상을 전파할 수 있다. 예를 들어, 디봇(138b)들은 트리밍 후에 희생 물질(138)에 남아 있다. 일부의 실시예에서, 트리밍 공정은 트리밍된 희생 물질(138)의 디봇(138b)들을 더욱 확대할 수 있다. 희생 물질(138)을 트리밍하는 것은 도 11a 및 도 11b와 관련하여 전술한 바와 같이 건식 에칭 공정 또는 건식 및 습식 에칭 공정의 조합을 포함할 수 있다.
후속하여, 에칭 공정이 수행되어 스페이서층(126)의 노출된 수평 부분들을 제거하여 맨드렐(124)을 노출시킨다. 스페이서층(126)의 수직 부분들은 에칭 후에 남아서 스페이서들(127)을 제공한다. 또한, 에칭 후에 스페이서층(126)의 마스킹된 부분들(예를 들어, 희생 물질(138)에 의해 마스킹된 영역들)이 남아있을 수도 있다. 그러나, 희생 물질(138)에 존재하는 디봇(138b)들의 결과로서, 디봇(138b)들의 하부에 있는 스페이서층(126)의 부분들은 스페이서들 에칭 공정 동안 충분히 마스킹되지 않을 수 있다. 결과적으로, 디봇(138b)들 밑의 스페이서층(126)의 부분들은 스페이서들 에칭 공정 동안 에칭될 수 있다. 일부의 실시예에서, 스페이서층(126)을 에칭하는 것은 도 12a 및 도 12b와 관련하여 전술한 바와 같은 건식 에칭 공정을 포함한다. 결과적인 구조가 도 25a 및 25b에 도시된다.
도 26a 및 도 26b에서, 맨드렐(124)들은 에칭 공정을 사용하여 제거된다. 맨드렐(124)들, 스페이서층(126) 및 희생 물질(138)은 동일한 에칭 공정에 대해 에칭 선택도를 가지기 때문에, 스페이서들(127) 또는 희생 물질(138)을 제거하지 않고 맨드렐(124)들이 제거될 수 있다. 맨드렐(124)들을 에칭하는 것은 밑에 있는 유전체층(110)을 노출시키며, 이는 에칭 중지층으로서 작용할 수 있다. 맨드렐(124)들이 제거된 후에, 스페이서들(127)은 피치(P2)를 가질 수 있다. 상술한 바와 같은 SADP 공정이 적용되는 실시예에서, 피치(P2)는 포토리소그래피 공정에 의해 달성 가능한 최소 피치의 절반이다. 스페이서들(127)과 희생 물질(138)의 조합은 하드 마스크 층(108)을 위한 패턴을 형성한다.
도 26a 및 도 26b는 모든 맨드렐(124)들이 제거되는 것을 도시하지만, 다양한 실시예는 또한 맨드렐(124)들의 선택적 제거를 고려한다. 예를 들어, 타겟층(102)이 형성되는 웨이퍼의 특정 영역(예를 들어, 디바이스 레이아웃에 따라)에서, 맨드렐(124)들은 형성 및/또는 제거되지 않을 수 있다. 맨드렐(124)들의 선택적 제거를 달성하기 위하여, 맨드렐(124)들, 스페이서들(127) 및 희생 물질(138) 위에 포토레지스트(명시적으로 도시되지 않음)가 성막될 수 있다. 포토레지스트는, 포토레지스트(120, 도 1-3 참조)와 유사할 수 있고, 포토레지스트의 개구들은 맨드렐(124)들의 다른 영역들을 제거로부터 마스킹하는 동안 맨드렐(124)들이 제거되는 영역을 노출시킬 수 있다. 후속하여, 포토레지스트는 애싱 단계를 사용하여 제거될 수 있다.
도 27a 및 도 27b를 먼저 참조하면, 스페이서들(127) 및 희생 물질(138)을 에칭 마스크로 사용하여 유전체층(110)(도 13a 및 도 13b 참조) 및 하드 마스크 층(108)이 순차적으로 에칭된다. 상술한 바와 같이, 희생 물질(138)은 다양한 패터닝 단계들을 통해 이루어지는 디봇(138b)들로 형성된다. 디봇(138b)들은 희생 물질(138)이 강한 마스크가 아닌 영역들을 제공한다. 결과적으로, 디봇(138b)들 밑의 하드 마스크 층(108)의 영역들은 적어도 부분적으로 노출될 수 있고, 디봇(138b)들 밑의 그러한 영역들은 패터닝될 수 있다. 따라서, 하드 마스크 층(108)은 개구(108a)들을 가질 수 있으며, 이는 디봇(138b)들에 대응한다. 개구(108a)들은 포토리소그래피 기술을 통해 이외에 달성할 수 있는 것보다 더 작은 치수(dimension)를 가질 수 있다. 일부의 실시예에서, 하드 마스크 층(108)을 에칭하는 것은 이방성 건식 에칭 및/또는 습식 에칭을 포함한다. 하드 마스크 층(108)이 패터닝된 후, 스페이서들(127), 희생 물질(138) 및 유전체층(110)의 임의의 잔류 부분을 제거하기 위해 습식 세정이 수행될 수 있다.
도 28a 및 도 28b에 도시된 일부의 실시예에서, 하드 마스크 층(108)은 타겟층(102)에 개구(140)들을 패터닝하기 위한 에칭 마스크로서 사용된다. 하드 마스크 층(108)에 개구(108a)들은 타겟층(102)의 개구(140a)들의 패터닝을 허용할 수 있다. 개구(140a)들의 치수(예를 들어, 폭)는 타겟층(102)의 개구(140)들보다 작을 수 있다. 타겟층(102)을 에칭하는 것은 이방성 건식 에칭 공정 및/또는 습식 에칭 공정을 포함할 수 있으며, ARC(106)를 통해 타겟층(102)에 순차적으로 에칭한다. 타겟층(102)의 나머지 부분들은 스페이서들(127) 및 희생 물질(138)과 동일한 패턴을 가질 수 있다. 개구(140 및 140a)들이 패터닝 된 후, 습식 세정이 수행되어 하드 마스크 층(108) 및 ARC(106)의 임의의 나머지 부분을 제거한다.
개구(140)들이 타겟층(102)에 패터닝된 후, 피쳐들이 개구들에 형성될 수 있다. 일 실시예에서, 타겟층(102)은 저-k 유전체이고, 패터닝된 타겟층(102)은 상호 연결 구조를 위한 IMD를 제공한다. 구리 라인, 구리 바이어(via)들 및/또는 코발트 플러그와 같은 전도성 피쳐들(150)은 도 29a 및 도 29b에 도시된 바와 같이 IMD층에 형성될 수 있다. 전도성 피쳐들(150)을 형성하는 것은 도 17a 및 17b와 관련하여 전술한 바와 같이 라이너(142)들/전도성 물질(144)의 형성과 유사할 수 있다.
타겟층(102)이 전도성층 또는 반도체층인 다른 실시예에서, 하드 마스크 층(108)의 반전 패턴이 도 18a, 도 18b, 도 19a, 도 19b, 도 20a, 및 도 20b와 관련하여 전술한 바와 같이 유사한 공정을 사용하여 타겟층(102)에 패터닝될 수 있다. 예를 들어, 추가적인 마스크 층이 하드 마스크 층(108) 주변에 성막될 수 있고; 이후에, 하드 마스크 층(108)이 제거되고 추가적인 하드 마스크가 타겟층(102)을 패터닝하는데 사용된다. 결과적으로 패터닝된 타겟층(102)은 하드 마스크 층(108)의 패턴보다 네거티브 패턴을 가진다.
다양한 실시예에서, 희생 물질에 디봇(138b)들을 의도적으로 포함시키고 디봇(138b)들의 패턴을 하부에 있는 피쳐들 상에 통과시킴으로써, 작은 피쳐들(예를 들어, 전도성 피쳐들(150a))이 타겟층(102) 내부에 형성되고 한정될 수 있다. 예를 들어, 도 30은 타겟층(102) 및 전도성 피쳐들(150)의 평면도를 도시한다. 도 30에도시된 바와 같이, 전도성 피쳐들(150)은 타겟층(102)에 형성되고, 전도성 피쳐들(150a)은 작은 치수로 형성될 수 있으며 타겟층(102)에 다른 피쳐들보다 인접한 피쳐들과 보다 가깝게 이격될 수 있다.
상술한 다양한 실시예는 더 적은 결함을 갖는 패터닝된 희생 물질을 보다 신뢰성 있게 형성하기 위해 반도체 막 증착 공정을 사용하는 패터닝 공정을 제공한다. 따라서, 더 적은 결함 및 증가된 수율로 타겟층에 미세한 패터닝된 피쳐들이 형성될 수 있다.
실시예에 따라, 방법은 하드 마스크 층 위에 제1 맨드렐 및 제2 맨드렐을 형성하는 것을 포함한다. 방법은 또한 제1 맨드렐 및 제2 맨드렐의 측벽들 위에 그리고 측벽들을 따라 스페이서층을 성막하는 것, 및 제1 맨드렐과 제2 맨드렐 사이의 스페이서층 위에 희생 물질을 형성하는 것을 포함한다. 희생 물질은 무기 산화물을 포함한다. 방법은 또한 제1 맨드렐 및 제2 맨드렐을 노출시키도록 스페이서층의 제1 수평 부분들을 제거하는 것을 포함한다. 스페이서층의 나머지 부분들은 제1 맨드렐 및 제2 맨드렐의 측벽들에 스페이서들을 제공한다. 방법은 제1 맨드렐 및 제 2 맨드렐을 제거하는 것, 및 스페이서들 및 희생 물질을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 것을 더 포함한다.
실시예에 따르면, 방법은 맨드렐층에 제1 개구를 패터닝하는 것을 포함한다. 맨드렐층은 타겟층 위에 배치된다. 방법은 또한 제1 개구의 측벽들을 따라 그리고 바닥면 위에 스페이서층을 성막하는 것을 포함한다. 방법은 또한 스페이서층 위에 패터닝된 마스크를 형성하는 것을 포함한다. 패터닝된 마스크는 제1 개구의 바닥면 상에 스페이서층의 부분을 노출시키는 제2 개구를 포함한다. 방법은 또한 물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD), 또는 이들의 조합을 사용하여 제2 개구에 희생 물질을 증착하는 것을 포함한다. 방법은 또한 패터닝된 마스크를 제거하는 것을 포함한다. 방법은 또한 맨드렐층의 측벽들 상에 스페이서들을 제공하도록 스페이서층을 패터닝하는 것을 포함한다. 방법은 또한 맨드렐층을 제거하는 것을 포함한다. 방법은 또한 타겟층에 스페이서들 및 희생 물질의 패턴을 전사하는 것을 포함한다.
실시예에 따르면, 방법은 하드 마스크 층 위에 복수의 맨드렐을 패터닝하는 것을 포함한다. 하드 마스크 층은 타겟층 위에 배치된다. 방법은 또한 복수의 맨드렐의 측벽들을 따라 그리고 측벽들 위에 스페이서층을 성막하는 것을 포함한다. 방법은 또한 스페이서층 위에 패터닝된 마스크를 형성하는 것을 포함한다. 패터닝된 마스크는 복수의 맨드렐 중 인접한 맨드렐들 사이에 스페이서층의 부분을 노출시키는 개구를 포함한다. 방법은 또한 개구의 패터닝된 마스크 위에 희생 물질을 성막하는 것을 포함한다. 희생 물질은 희생 물질의 상부면에 디봇을 포함한다. 방법은 또한 패터닝된 마스크를 제거하는 것, 및 복수의 맨드렐을 노출하기 위해 스페이서층을 패터닝하는 것을 포함한다. 스페이서층을 패터닝하여 복수의 맨드렐을 노출한 후, 스페이서층의 측벽 부분들이 복수의 맨드렐의 측벽들을 따라 남아 있다. 방법은 또한 복수의 맨드렐을 제거하는 것, 및 스페이서층 및 희생물질의 측벽 부분들을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 것을 포함한다.
이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태 또는 실시예의 특징을 개관한 것이다. 당업자는 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들 또는 실시예들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다고 이해할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시 내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.
<부기>
1. 하드 마스크 층 위에 제1 맨드렐 및 제2 맨드렐을 형성하는 단계;
제1 맨드렐 및 제2 맨드렐의 측벽들 위에 그리고 이 측벽들을 따라 스페이서층을 성막하는 단계;
제1 맨드렐 및 제2 맨드렐 사이의 스페이서층 위에 희생 물질을 형성하는 단계로서, 희생 물질은 무기 산화물을 포함하는 것인 단계;
제1 맨드렐 및 제2 맨드렐을 노출하도록 스페이서층의 제1 수평 부분들을 제거하는 단계로서, 스페이서층의 나머지 부분들은 제1 맨드렐 및 제2 맨드렐의 측벽들 상에 스페이서들을 제공하는 것인, 단계;
제1 맨드렐 및 제2 맨드렐을 제거하는 단계; 및
스페이서들 및 희생 물질을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 단계를 포함하는, 방법.
2. 제1항에 있어서, 희생 물질을 형성하는 단계는,
스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는 제1 맨드렐 및 제2 맨드렐 사이의 스페이서층의 부분을 노출하는 개구를 포함하는 것인, 단계;
개구에 희생 물질을 성막하는 단계; 및
패터닝된 마스크를 제거하는 단계를 포함하는 것인, 방법.
3. 제2항에 있어서, 희생 물질은 200℃ 이하에서 성막되는 것인, 방법.
4. 제2항에 있어서, 희생물질을 성막하는 단계는 물리 기상 증착(PVD) 공정, 화학 기상 증착(CVD) 공정, 원자층 증착(ALD) 공정, 또는 이들의 조합을 포함하는 것인, 방법.
5. 제1항에 있어서, 동일한 에칭 공정에 대하여 스페이서층의 제2 에칭 속도에 대한 희생 물질의 제1 에칭 속도의 비율은 적어도 0.7 인 것인, 방법.
6. 제1항에 있어서, 희생 물질은 티타늄 옥사이드, 탄탈륨 옥사이드, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 칼바이드, 또는 이들의 조합을 포함하는 것인, 방법.
7. 제1항에 있어서, 스페이서층의 제1 수평 부분들을 제거하는 동안 희생 물질은 스페이서층의 제2 수평 부분을 마스킹하는 것인, 방법.
8. 맨드렐층에 제1 개구를 패터닝하는 단계로서, 맨드렐층은 타겟층 위에 배치되는 것인, 단계;
제1 개구의 측벽들을 따라 그리고 제1 개구의 바닥면 위에 스페이서층을 성막하는 단계;
스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는 제1 개구의 바닥면 상의 스페이서층의 부분을 노출하는 제2 개구를 포함하는 것인, 단계;
물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD), 또는 이들의 조합을 사용하여 제2 개구에 희생 물질을 성막하는 단계;
패터닝된 마스크를 제거하는 단계;
맨드렐층의 측벽들 상에 스페이서들을 제공하도록 스페이서층을 패터닝하는 단계;
맨드렐층을 제거하는 단계; 및
타겟층에 스페이서들 및 희생 물질의 패턴을 전사하는 단계를 포함하는, 방법.
9. 제8항에 있어서, 희생 물질은 무기 물질인 것인, 방법.
10. 제8항에 있어서, 희생 물질은 스페이서층을 패터닝하는 동안 제1 개구의 바닥면 상의 스페이서층의 부분을 마스킹하는 것인, 방법.
11. 제8항에 있어서, 스페이서층 위에 패터닝된 마스크를 형성하는 단계는,
스페이서층 위에 하부층을 성막하는 단계; 및
하부층을 통해 제2 개구를 패터닝하는 단계를 포함하는 것인, 방법.
12. 제11항에 있어서,
하부층 위에 중간층을 성막하는 단계;
중간층을 통해 제2 개구를 패터닝하는 단계; 및
제2 개구에 희생 물질을 성막하기 전에, 중간층을 제거하는 단계를 더 포함하는 것인, 방법.
13. 제11항에 있어서,
하부층 위에 중간층을 성막하는 단계; 및
중간층을 통해 제2 개구를 패터닝하는 단계로서, 희생 물질을 성막하는 단계는 중간층 위에 희생 물질의 부분을 성막하는 것을 포함하는 것인 단계
를 더 포함하는 것인, 방법.
14. 제8항에 있어서, 패터닝된 마스크를 제거하는 단계 이후에, 희생 물질을 트리밍하는 단계를 더 포함하고, 희생 물질을 트리밍하는 단계는 적어도 하나의 단면에서 희생 물질의 폭을 감소시키는 것인, 방법.
15. 제14항에 있어서, 희생 물질을 트리밍하는 단계는 건식 에칭 공정, 습식 에칭 공정, 또는 이들의 조합을 포함하는 것인, 방법.
16. 제15항에 있어서, 건식 에칭 공정은 탄소-플루오로-계 에칭액을 사용하는 것을 포함하거나, 또는 습식 에칭 공정은 희석된 플루오르화 수소산을 에칭액으로 사용하는 것을 포함하는 것인, 방법.
17. 하드 마스크 층 위에 복수의 맨드렐을 패터닝하는 단계;
복수의 맨드렐의 측벽들을 따라 그리고 이 측벽들 위에 스페이서층을 성막하는 단계;
스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는, 복수의 맨드렐 중 인접한 맨드렐들 사이의 스페이서층의 부분을 노출하는 개구를 포함하는 것인, 단계;
개구의 패터닝된 마스크 위에 희생 물질을 성막하는 단계로서, 희생 물질은 희생 물질의 상부면에 디봇을 포함하는 것인, 단계;
패터닝된 마스크를 제거하는 단계;
복수의 맨드렐을 노출하도록 스페이서층을 패터닝하는 단계로서, 스페이서층을 패터닝하여 복수의 맨드렐을 노출한 후, 스페이서층의 측벽 부분들이 복수의 맨드렐의 측벽들을 따라 남아 있는 것인, 단계;
복수의 맨드렐을 제거하는 단계; 및
스페이서층 및 희생 물질의 측벽 부분들을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 단계를 포함하는 방법.
18. 제17항에 있어서, 희생 물질을 패터닝하는 단계를 더 포함하고, 희생 물질을 패터닝하는 단계 이후에 희생 물질에 디봇이 남아 있는 것인, 방법.
19. 제17항에 있어서, 스페이서층을 패터닝하는 동안 희생 물질은 스페이서층의 제1 수평 부분 및 스페이서층의 제2 수평 부분을 마스킹하고, 스페이서층을 패터닝하는 동안 디봇은 제1 수평 부분 및 제2 수평 부분 사이에 스페이서층의 제3 수평 부분을 적어도 부분적으로 노출하는 것인, 방법.
20. 제17항에 있어서, 희생 물질은 무기 물질이고, 희생 물질을 성막하는 단계는 물리 기상 증착(PVD) 공정, 화학 기상 증착(CVD) 공정, 원자층 증착(ALD) 공정, 또는 이들의 조합을 포함하는 것인, 방법.

Claims (10)

  1. 하드 마스크 층 위에 제1 맨드렐 및 제2 맨드렐을 형성하는 단계;
    제1 맨드렐 및 제2 맨드렐의 측벽들 위에 그리고 이 측벽들을 따라 스페이서층을 성막하는 단계;
    제1 맨드렐 및 제2 맨드렐 사이의 스페이서층 위에 희생 물질을 형성하는 단계로서, 희생 물질은 무기 산화물을 포함하는 것인, 단계;
    제1 맨드렐 및 제2 맨드렐을 노출하도록 스페이서층의 제1 수평 부분들을 제거하는 단계로서, 스페이서층의 나머지 부분들은 제1 맨드렐 및 제2 맨드렐의 측벽들 상에 스페이서들을 제공하는 것인, 단계;
    제1 맨드렐 및 제2 맨드렐을 제거하는 단계; 및
    스페이서들 및 희생 물질을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 희생 물질을 형성하는 단계는,
    스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는 제1 맨드렐 및 제2 맨드렐 사이의 스페이서층의 부분을 노출하는 개구를 포함하는 것인, 단계;
    개구에 희생 물질을 성막하는 단계; 및
    패터닝된 마스크를 제거하는 단계를 포함하는 것인, 방법.
  3. 제1항에 있어서, 동일한 에칭 공정에 대하여 스페이서층의 제2 에칭 속도에 대한 희생 물질의 제1 에칭 속도의 비율은 적어도 0.7 인 것인, 방법.
  4. 제1항에 있어서, 희생 물질은 티타늄 옥사이드, 탄탈륨 옥사이드, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 칼바이드, 또는 이들의 조합을 포함하는 것인, 방법.
  5. 제1항에 있어서, 상기 스페이서층의 제1 수평 부분들을 제거하는 동안 희생 물질은 스페이서층의 제2 수평 부분을 마스킹하는 것인, 방법.
  6. 맨드렐층에 제1 개구를 패터닝하는 단계로서, 상기 맨드렐층은 타겟층 위에 배치되는 것인, 단계;
    제1 개구의 측벽들을 따라 그리고 제1 개구의 바닥면 위에 스페이서층을 성막하는 단계;
    상기 스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는 제1 개구의 바닥면 상의 스페이서층의 부분을 노출하는 제2 개구를 포함하는 것인, 단계;
    물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD), 또는 이들의 조합을 사용하여 제2 개구에 희생 물질을 성막하는 단계;
    패터닝된 마스크를 제거하는 단계;
    맨드렐층의 측벽들 상에 스페이서들을 제공하도록 스페이서층을 패터닝하는 단계;
    맨드렐층을 제거하는 단계; 및
    타겟층에 스페이서들 및 희생 물질의 패턴을 전사하는 단계를 포함하는, 방법.
  7. 제6항에 있어서, 스페이서층 위에 패터닝된 마스크를 형성하는 단계는,
    스페이서층 위에 하부층을 성막하는 단계; 및
    하부층을 통해 제2 개구를 패터닝하는 단계를 포함하는 것인, 방법.
  8. 제6항에 있어서, 패터닝된 마스크를 제거하는 단계 이후에, 희생 물질을 트리밍하는 단계를 더 포함하고, 희생 물질을 트리밍하는 단계는 적어도 하나의 단면에서 희생 물질의 폭을 감소시키는 것인, 방법
  9. 하드 마스크 층 위에 복수의 맨드렐을 패터닝하는 단계;
    복수의 맨드렐의 측벽들을 따라 그리고 이 측벽들 위에 스페이서층을 성막하는 단계;
    스페이서층 위에 패터닝된 마스크를 형성하는 단계로서, 패터닝된 마스크는, 복수의 맨드렐 중 인접한 맨드렐들 사이의 스페이서층의 부분을 노출하는 개구를 포함하는 것인, 단계;
    상기 개구의 패터닝된 마스크 위에 희생 물질을 성막하는 단계로서, 희생 물질은 희생 물질의 상부면에 디봇을 포함하는 것인, 단계;
    패터닝된 마스크를 제거하는 단계;
    복수의 맨드렐을 노출하도록 스페이서층을 패터닝하는 단계로서, 스페이서층을 패터닝하여 복수의 맨드렐을 노출한 후, 스페이서층의 측벽 부분들이 복수의 맨드렐의 측벽들을 따라 남아 있는 것인, 단계;
    복수의 맨드렐을 제거하는 단계; 및
    스페이서층 및 희생 물질의 측벽 부분들을 에칭 마스크로 사용하여 하드 마스크 층을 패터닝하는 단계를 포함하는 방법.
  10. 제9항에 있어서, 희생 물질을 패터닝하는 단계를 더 포함하고, 희생 물질을 패터닝하는 단계 이후에 희생 물질에 디봇이 남아 있는 것인, 방법.
KR1020170165341A 2017-04-28 2017-12-04 반도체 장치 및 구조에 이르기 위한 패터닝 방법 KR102108234B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491614P 2017-04-28 2017-04-28
US62/491,614 2017-04-28
US15/641,009 2017-07-03
US15/641,009 US10340141B2 (en) 2017-04-28 2017-07-03 Patterning method for semiconductor device and structures resulting therefrom

Publications (2)

Publication Number Publication Date
KR20180121327A true KR20180121327A (ko) 2018-11-07
KR102108234B1 KR102108234B1 (ko) 2020-05-11

Family

ID=63797652

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170165341A KR102108234B1 (ko) 2017-04-28 2017-12-04 반도체 장치 및 구조에 이르기 위한 패터닝 방법

Country Status (5)

Country Link
US (1) US10340141B2 (ko)
KR (1) KR102108234B1 (ko)
CN (1) CN108807152A (ko)
DE (1) DE102017128235A1 (ko)
TW (1) TW201839852A (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053212A (ko) * 2019-10-29 2021-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 자체 정렬된 이중 패터닝
KR20220044077A (ko) * 2020-09-30 2022-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 피치 이완 및 이온 충격을 통한 방향성 단부 푸싱을 사용한 피처 패터닝
US11784056B2 (en) 2019-10-29 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636667B2 (en) * 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US11227767B2 (en) * 2018-05-03 2022-01-18 Tokyo Electron Limited Critical dimension trimming method designed to minimize line width roughness and line edge roughness
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10763262B2 (en) 2018-11-23 2020-09-01 Nanya Technology Corporation Method of preparing semiconductor structure
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11257681B2 (en) * 2019-07-17 2022-02-22 International Business Machines Corporation Using a same mask for direct print and self-aligned double patterning of nanosheets
CN110718460B (zh) * 2019-11-26 2022-06-14 上海华力微电子有限公司 一种改善sadp中奇偶效应的工艺方法
US11373880B2 (en) 2020-09-22 2022-06-28 International Business Machines Corporation Creating different width lines and spaces in a metal layer
US20220102143A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Metal Hard Masks for Reducing Line Bending
US11715640B2 (en) 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
US20220344217A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor structure
US20220367253A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US20230178379A1 (en) 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Film deposition for patterning process
CN117545275B (zh) * 2024-01-08 2024-05-14 长鑫新桥存储技术有限公司 半导体结构的制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000042394A (ko) * 1998-12-24 2000-07-15 김영환 상감 기법을 이용한 미세 금속 패턴 형성 방법
KR20080061860A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR20090022667A (ko) * 2007-08-31 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100520188B1 (ko) * 2000-02-18 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8954900B1 (en) * 2013-07-31 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000042394A (ko) * 1998-12-24 2000-07-15 김영환 상감 기법을 이용한 미세 금속 패턴 형성 방법
KR20080061860A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR20090022667A (ko) * 2007-08-31 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210053212A (ko) * 2019-10-29 2021-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 자체 정렬된 이중 패터닝
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
US11784056B2 (en) 2019-10-29 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
KR20220044077A (ko) * 2020-09-30 2022-04-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 피치 이완 및 이온 충격을 통한 방향성 단부 푸싱을 사용한 피처 패터닝

Also Published As

Publication number Publication date
TW201839852A (zh) 2018-11-01
KR102108234B1 (ko) 2020-05-11
DE102017128235A1 (de) 2018-10-31
US10340141B2 (en) 2019-07-02
US20180315601A1 (en) 2018-11-01
CN108807152A (zh) 2018-11-13

Similar Documents

Publication Publication Date Title
KR102108234B1 (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
US11348829B2 (en) Patterning methods for semiconductor devices and structures resulting therefrom
US10867804B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
KR102102735B1 (ko) 다중 패터닝 방법
US10170307B1 (en) Method for patterning semiconductor device using masking layer
US11088018B2 (en) Method of forming contacts in a semiconductor device
KR101696982B1 (ko) 혼 형상 스페이서를 이용한 트렌치 형성
US11521856B2 (en) Semiconductor patterning and resulting structures
US20240136184A1 (en) Method for forming and using mask
US11848209B2 (en) Patterning semiconductor devices and structures resulting therefrom
CN115831859A (zh) 制造半导体器件的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right