KR101696982B1 - 혼 형상 스페이서를 이용한 트렌치 형성 - Google Patents

혼 형상 스페이서를 이용한 트렌치 형성 Download PDF

Info

Publication number
KR101696982B1
KR101696982B1 KR1020140173793A KR20140173793A KR101696982B1 KR 101696982 B1 KR101696982 B1 KR 101696982B1 KR 1020140173793 A KR1020140173793 A KR 1020140173793A KR 20140173793 A KR20140173793 A KR 20140173793A KR 101696982 B1 KR101696982 B1 KR 101696982B1
Authority
KR
South Korea
Prior art keywords
layer
opening
mandrel
spacer
etching
Prior art date
Application number
KR1020140173793A
Other languages
English (en)
Other versions
KR20150065609A (ko
Inventor
쑹-민 후앙
충-주 리
융-슈 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150065609A publication Critical patent/KR20150065609A/ko
Application granted granted Critical
Publication of KR101696982B1 publication Critical patent/KR101696982B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

개시하는 방법은 타겟 층 위에 맨드릴 층을 형성하는 것, 및 이 맨드릴 층을 에칭하여 맨드릴을 형성하는 것을 포함한다. 맨드릴을 상부 폭이 해당 저부 폭보다 크며, 맨드릴은 맨드릴 층에 제1 개구를 획정한다. 제1 개구는 I-형상을 가지며, 2개의 평행 부분 및 이들 2개의 평행 부분을 서로 연결하는 연결 부분을 포함한다. 제1 개구의 측벽 상에 스페이서가 형성된다. 스페이서는 연결 부분을 채우며, 2개의 평행 부분 각각의 중앙부는 스페이서에 의해 채워지지 않는다. 스페이서에 의해 채워지지 않은 제1 개구의 부분들은 타겟 층 내로 연장한다.

Description

혼 형상 스페이서를 이용한 트렌치 형성{TRENCH FORMATION USING HORN SHAPED SPACER}
본 발명은 서로 간격을 두고 떨어진 미세 라인을 갖는 피쳐 및 이를 형성하는 방법에 관한 것이다.
이중 패터닝은 리소그래피에 의한 피쳐 밀도를 향상시키도록 개발된 기술이다. 통상, 웨이퍼 상에 집적 회로의 피쳐를 형성하는 데에는 포토레지스트를 도포하고 그 포토레지스트 상에 피쳐를 형성하는 것을 수반하는 리소그래피 기술이 이용되고 있다. 패터닝된 포토레지스트 내의 피쳐는 우선 리소그래피 마스크에 형성되어, 리소그래피 마스크에서의 투명 부분 또는 불투명 부분에 의해 수행된다. 이어서, 패터닝된 포토레지스트의 피쳐는 제조될 피쳐로 전사된다.
집적 회로의 크기가 점점 더 감소함에 따라, 광 근접 효과(optical proximity effect)는 점점 더 큰 문제점을 초래한다. 2개의 별개의 피쳐가 서로 너무 근접한 경우, 광 근접 효과는 그 피쳐들이 서로 단락(short)되게 할 수 있다. 이러한 문제점을 해결하기 위해, 이중 패터닝 기술이 도입되었다. 이중 패터닝 기술에서, 근접하게 위치한 피쳐들은 동일 이중 패터닝 마스크 세트 중 2개의 포토리소그래피 마스크에 의해 분리되는 데, 그들 두 마스크들은 모두 동일 포토레지스트를 노출시키는 데에 이용되거나, 동일 하드 마스크를 패터닝하는 데에 이용된다. 각 마스크에서, 피쳐들 간의 거리는 단일 마스크에서의 피쳐들 간의 거리에 비해 증가하며, 이에 따라 이중 패터닝 마스크에서 광 근접 효과를 감소시키거나 실질적으로 제거하게 된다.
하지만, 이중 패터닝도 단점은 있다. 예를 들면, 2개의 피쳐가 동일한 직선 라인으로 정렬된 길이 방향을 갖고 그 피쳐들의 라인 단부가 서로 면하는 경우, 근접 효과와 오버레이 편차로 인해 라인 단부 간격의 균일성을 제어하는 데에 어려움이 있다. 특히, 그 두 피쳐에 근접한 다른 피쳐들이 존재하는 경우, 피쳐의 라인 폭을 제어하기가 어렵다.
본 발명은 서로 간격을 두고 떨어진 미세 라인을 갖는 피쳐 및 이를 형성하는 방법을 제공한다.
몇몇 실시예에 따르면, 방법은 타겟 층 위에 맨드릴 층을 형성하는 것, 및 이 맨드릴 층을 에칭하여 맨드릴을 형성하는 것을 포함한다. 맨드릴을 상부 폭이 해당 저부 폭보다 크며, 맨드릴은 맨드릴 층에 제1 개구를 획정한다. 제1 개구는 I-형상을 가지며, 2개의 평행 부분 및 이들 2개의 평행 부분을 서로 연결하는 연결 부분을 포함한다. 제1 개구의 측벽 상에 스페이서가 형성된다. 스페이서는 연결 부분을 채우며, 2개의 평행 부분 각각의 중앙부는 스페이서에 의해 채워지지 않는다. 스페이서에 의해 채워지지 않은 제1 개구의 부분들은 타겟 층 내로 연장한다.
다른 실시예에 따르면, 방법은 타겟 층 위에 맨드릴 층을 형성하는 것, 매드릴 층에 제1 개구를 형성하도록 맨드릴 층 상에 제1 에칭 단계를 수행하는 것, 및 서로 평행한 제2 개구 및 제3 개구를 형성하도록 맨드릴 층 상에 제2 에칭 단계를 수행하는 것을 포함하며, 제1 개구의 양 단부는 제2 개구 및 제3 개구에 연결되어, I-형 개구를 형성한다. 그 방법은 맨드릴 층 위에 I-형 개구 내로 연장하는 블랭킷 스페이서 층을 형성하는 것, 및 맨드릴 층 위의 블랭킷 스페이서 층의 일부분을 제거하는 것을 포함하며, 블랭킷 스페이서 층의 나머지 부분이 스페이서를 형성한다. 스페이서는 제1 개구를 채우는 연결 부분을 포함하며, 제2 개구 및 제3 개구의 중앙부는 스페이서에 의해 채워지지 않는다. 맨드릴 층은 이 맨드릴 층의 일부분을 제거하여 맨드릴 층에 제4 개구 및 제5 개구를 형성하도록 에칭되며, 제4 개구와 제5 개구는 제2 개구와 제3 개구 사이에 위치한다. 그 방법은 맨드릴 층 및 스페이서를 에칭 마스크로서 이용하여 타겟 층에 트렌치를 형성하도록 타겟 층을 에칭하는 것을 더 포함한다. 트렌치는 맨드릴 층의 나머지 부분 및 스페이서 위에서 이에 접촉하는 부분을 포함하는 재료로 채워진다.
또 다른 실시예에 따르면, 방법은, 로우-k 유전층 위에 맨드릴 층을 형성하는 것, 매드릴 층에 제1 개구를 형성하도록 맨드릴 층 상에 제1 에칭 단계를 수행하는 것, 및 서로 평행한 제2 개구 및 제3 개구를 형성하도록 맨드릴 층 상에 제2 에칭 단계를 수행하는 것을 포함하며, 맨드릴 층의 나머지 부분은 상부 폭 및 해당 상부 폭보다 작은 저부 폭을 갖는 맨드릴을 포함한다. 제1 개구의 양 단부는 제2 및 제3 개구에 연결되어, I-형 개구를 형성한다. 그 방법은 맨드릴 층 위에 I-형 개구 내로 연장하는 블랭킷 스페이서 층을 형성하는 것, 및 맨드릴 층 위의 블랭킷 스페이서 층의 일부분을 제거하는 것을 포함하며, 블랭킷 스페이서 층의 나머지 부분이 스페이서를 형성한다. 스페이서는 제2 개구 및 제3 개구와 겹쳐지지 않는 제1 개구의 부분의 전체를 채우는 연결 부분을 포함하며, 제2 개구 및 제3 개구의 중앙부는 스페이서에 의해 채워지지 않는다. 맨드릴 층에 제4 개구 및 제5 개구를 형성하도록 맨드릴의 일부분이 에칭되며, 제4 개구와 제5 개구는 스페이서의 연결 부분의 양측에서 제2 개구와 제3 개구 사이에 위치한다.
본 발명의 실시예들은 몇몇 유리한 특징들을 갖고 있다. 상부 폭이 해당 저부 폭보다 큰 맨드릴을 형성함으로써, 구리와 같은 도전 재료를 트렌치 개구 및 비아 개구 내에 충전하기가 용이해진다. 따라서, 불완전한 갭 충전을 야기할 가능성이 감소한다. 어떠한 금속 하드 마스크도 맨드릴 아래에 형성되지 않아, 금속 하드 마스크 패터닝에 의해 초래되는 로우-k 유전층의 손상을 피할 수 있다.
실시예 및 그 이점을 보다 완벽하게 이해하도록, 첨부 도면과 관련된 이하의 상세한 설명을 참조한다.
도 1a 내지 도 11은 몇몇 바람직한 실시예에 따라 타겟 층에 피쳐를 형성하는 데에 있어서의 중간 단계들의 평면도 및 단면도를 도시한다.
도 12a 내지 도 12c는 타겟 층에 형성된 피쳐의 평면도 및 단면도를 도시한다.
본 발명의 실시예의 제조 및 그 이용에 대해 아래에서 상세하게 설명한다. 하지만, 그 실시예들은 광범위하게 다양한 특정 맥락으로 구현될 수 있는 수많은 적용 가능한 개념을 제공한다는 점을 이해해야 할 것이다. 논의하는 특정 실시예는 예시적인 것으로, 본 발명의 범위를 제한하지 않는다.
서로 간격을 두고 떨어진 미세 라인을 갖는 피쳐 및 이를 형성하는 방법이 다양한 바람직한 실시예에 따라 제공된다. 피쳐를 형성하는 중간 단계가 몇몇 바람직한 실시예에 따라 예시된다. 그 실시예들의 변형예가 논의된다. 다양한 도면 및 예시한 실시예에 걸쳐 동일 도면 부호는 동일 요소를 지칭하는 데에 이용된다.
도 1a 내지 도 11은 몇몇 바람직한 실시예에 따라 타겟 층에 피쳐를 형성하는 데에 있어 중간 단계들의 평면도 및 단면도를 도시한다. 평면도 중 일부는 라인 C-C를 포함하며, 동일 구조의 단면도는 그 평면도에서 라인 C-C를 포함한 수평면으로부터 취해진 것이다.
도 1a 및 도 1b는 기판(120) 및 그 위에 놓인 층들을 포함한 웨이퍼(100)의 평면도 및 단면도를 각각 도시하고 있다. 기판(120)은 실리콘, 실리콘 게르마늄 등과 같은 반도체 재료로 형성될 수 있다. 몇몇 실시예에서, 기판(120)은 결정질 실리콘 기판, 결정질 실리콘 탄소 기판, 결정질 실리콘 게르마늄 기판, III-V족 화합물 반도체 기판 등과 같은 결정질 반도체 기판이다. 트랜지스터를 그 내에 포함할 수 있는 능동 소자(122)가 기판(120)의 상면에 형성된다.
유전층(124)이 기판(120) 위에 형성된다. 몇몇 실시예에서, 유전층(124)은 유전 상수(k값)가 예를 들면 3.8보다, 약 3.0보다, 또는 약 2.5보다 낮은 유전 재료로 이루어질 수 있는 금속간 유전층(Inter-Metal Dielectric: IMD) 또는 층간 유전층(Inter-Layer Dielectric: ILD)이다. 몇몇 실시예에서, 구리 라인 또는 텅스텐 콘택트 플러그 등의 금속 피쳐일 수 있는 도전 피쳐(126)가 유전층(124)에 형성된다. 에치 스톱 층(etch stop layer)(26)이 유전층(124) 위에 형성된다. 에치 스톱 층(26)은 탄화 실리콘, 질화 실리콘 등의 유전 재료를 포함할 수 있다.
몇몇 실시예에서, 도 1b에 도시한 바와 같이 산화물층((27)이 에치 스톱 층(26) 위에 형성된다. 산화물층(27)은 에치 스톱 층(26)과 그 위의 유전층(28) 간의 접착을 개선키기 위한 접착층으로서 작용한다. 몇몇 실시예에서, 산화물층(27)은 테트라에틸오르소실리케이트(TEOS) 산화물을 포함한다.
또한, 유전층(28)이 산화물층(27) 위에 형성된다. 이 유전층(28)은 유전 상수(k값)가 예를 들면 3.8보다, 약 3.0보다, 또는 약 2.5보다 낮은 유전 재료로 이루어진 IMD 층이다. 유전층(28)은 탄소를 포함할 수 있고, 그 내에 기공(pore)을 가질 수 있다. 대안적인 실시예에서, 유전층(28)은 3.8보다 큰 k값을 갖는 논-로우 k(non-low-k) 유전층이다.
대안적인 실시예에서, 층(28)은 반도체 기판이다. 이 실시예에서는 층(28) 아래에 추가의 층들이 없을 수 있다. 따라서, 도 1b에 도시한 바와 같은 예시한 층(120, 124, 26, 27)들은 형성되지 않을 수도 있다. 상세한 설명에 걸쳐, 층(28)은 또한 본 발명의 실시예에 따라 복수의 패턴이 형성될 타겟 층으로서 지칭된다.
로우-k 유전층(28) 위에는 산화 실리콘(TEOS 등), 무질소 반사 방지 코팅(Nitrogen-Free Anti-Reflective Coating; NFARC, 산화물), 탄화 실리콘, 산질화 실리콘 등으로 이루어질 수 있는 유전성 하드 마스크(20)가 존재한다. 그 형성 방법은 플라즈마 화학 기상 증착(PECVD), 고밀도 플라즈마(HDP) 증착 등을 포함한다.
유전성 하드 마스크(30) 위에서는 맨드릴 층(mandrel layer)(36)이 형성된다. 몇몇 실시예에서, 맨드릴 층(36)은 이 맨드릴 층(36)과 유전성 하드 마스크(30)에 추가의 층이 형성되지 않은 상태로 유전성 하드 마스크(30)와 접촉한다. 몇몇 실시예에서, 맨드릴 층(36)은 비정질 실리콘, 또는 그 아래의 유전성 하드 마스크(30)에 대한 높은 에칭 선택비(etching selectivity)를 갖는 기타 재료로 이루어진다.
도 1a 및 도 1b는 제1 포토리소그래피 공정을 도시하고 있다. 맨드릴 층(36)(도 1b 참조) 위에는 하부층(때로는 바닥층이라고도 지칭함)(38), 이 하부층(38) 위의 중간층(40), 및 이 중간층(40) 위의 상부층(42)을 포함한 삼중층이 형성된다. 몇몇 실시예에서, 하부층(38) 및 상부층(42)은 유기 재료를 포함하는 포토레지스트로 이루어진다. 중간층(40)은 질화물(질화 실리콘 등), 산질화물(산질화 실리콘 등), 산화물(산화 실리콘) 등 일 수 있는 무기 재료를 포함할 수 있다. 중간층(40)은 상부층(42) 및 하부층(38)에 대해 높은 에칭 선택비를 갖고 있고, 이에 따라 상부층(42)은 중간층(40)의 패터닝을 위한 에칭 마스크로서 이용되고, 중간층(40)은 하부층(38)의 패터닝을 위한 에칭 마스크로서 이용된다. 상부층(42)의 적용 후에, 상부층(42)이 패터닝된다. 상부층(42)은 개구(44)를 형성하도록 패터닝된다. 이와 같이 하부층(38), 중간층(40) 및 상부층(42)을 형성하고 상부층(42)을 노출 및 현상하는 것을 제1 포토리소그래피(포토) 공정으로서 지칭한다.
평면도와 단면도를 각각 포함한 도 2a 및 도 2b를 참조하면, 제1 에칭 공정이 상부층(42)의 패턴을 맨드릴 층(36)에 전사하도록 수행된다. 해당 공정을 또한 컷 에칭(cut etch) 공정으로서 지칭한다. 이 에칭 공정 중에, 상부층(42), 중간층(40) 및 하부층(38)은 소모될 수 있다. 맨드릴 층(36)의 에칭 후에 상부층(42), 중간층(40) 및 하부층(38)의 어떠한 잔류 부분이 남게 된다면, 그 잔류 부분은 제거된다. 그 얻어진 구조가 도 2a 및 도 2b에 도시되어 있다. 에칭은 비등방성(anisotropic)적이어서, 맨드릴 층(36)의 개구(44)가 상부층(42)의 해당 개구(44)와 동일 또는 유사한 사이즈를 갖는다(도 1b 참조).
도 3a, 도 3b, 도 4a, 및 도 4b는 맨드릴 층(36) 상에서 수행되는 제2 포토리소그래피 및 제2 에칭 공정을 도시하고 있다. 평면도 및 단면도를 각각 포함한 도 3a 및 도 3b를 참조하면, 바닥층(38), 중간층(50) 및 상부층(52)이 형성된다. 바닥층(48), 중간층(50) 및 상부층(52)의 재료는 바닥층(38), 중간층(40) 및 상부층(42)(도 1b 참조) 각각과 동일한 재료 후보군으로부터 선택될 수 있다. 상부층(52)은 그 내에 개구(54)들을 형성하도록 패터닝된다.
이어서, 평면도 및 단면도를 각각 포함한 도 4a 및 도 4b에 도시한 바와 같이, 제2 에칭 공정을 수행하여 그 개구(54)들을 맨드릴 층(36) 내로 연장시킨다. 그 결과, 맨드릴 층(36)은 개구(44)와 개구(54) 모두를 포함한다. 맨드릴 층(36)의 나머지 부분은 이하에서 맨드릴(56)로서 지칭한다. 도 4a의 평면도에 도시한 바와 같이 개구(54)들 중 2개가 개구(44)에 의해 서로 연결되어 I-형 개구를 형성한다. 개구(54)들과 개구(44)는 서로 겹치는 부분을 갖고 있음을 알 수 있을 것이다. 이하의 설명에서, 개구(44)는 개구(54)들을 연결하는 상호 연결부를 의미하는 한편, 겹치는 부분은 개구(54)의 부분으로서 간주된다.
본 발명의 실시예에 따르면, 맨드릴 층(36)의 에칭 시에, 맨드릴 층(36)의 에칭을 위한 공정 조건은 나머지 맨드릴(56)의 상부 폭(W1)이 해당 저부 폭(W2)보다 크도록 조절된다. 몇몇 실시예에서, 맨드릴(56)은 측면도에서 실질적으로 직선을 이루는 에지(56')를 갖는 뒤집힌 사다리꼴 형상을 갖는다. 대안적인 실시예에서 에지(56')는 만곡될 수도 있다. 에지(56')의 경사각(α)은 90° 보다 작다. 몇몇 실시예에서, 경사각(α)은 약 60° 내지 약 80°이거나, 약 60° 내지 약 85°일 수도 있다.
몇몇 바람직한 실시예에서, 맨드릴 층(36)의 에칭은 CF4, HBr, Cl2, O2 또는 이들의 조합과 같은 프로세스 가스를 포함한 프로세스 챔버(도시 생략) 내에서 수행된다. 프로세스 가스의 유량은 약 3 sccm 내지 약 40 sccm 범위일 수 있다. 프로세스 가스의 압력은 약 5 mtorr 내지 약 50 mtorr 범위일 수 있다. 에칭은 등방성 에칭을 위해 고주파 전력을 인가하고, 이와 동시에 비등방성 에칭을 위해 저주파 전력을 인가하여 수행될 수 있다. 예를 들면, 고주파 전력은 13.6 MHz의 주파수를 가질 수 있고, 저주파 전력은 2 MHz의 주파수를 가질 수 있다. 바이어스 전압은 약 200 볼트보다 낮다. 에칭 중에, 웨이퍼(100)의 온도는 약 15℃ 내지 약 50℃일 수 있다. 이러한 공정 조건은 에칭이 비등방성 성분을 포함하지만, 맨드릴(56)을 위한 원하는 프로파일을 생성하도록 등방성 효과가 발생 및 증가하도록 조절된다. 예를 들면, 프로세스 가스의 압력을 증가시키거나, 프로세스 가스에서의 에칭 가스(O2, HBr 및 Cl2 등)의 양을 증가시키거나, 고주파 전력을 증가시키거나, 및/또는 저주파 전력을 낮추거나 하면, 에칭의 등방성 효과를 증가시켜 맨드릴(56)을 위한 원하는 프로파일을 생성하는 효과가 있다. 최적의 공정 조건은 다양한 인자와 관련이 있고, 실험을 통해 구할 수 있다.
평면도 및 단면도를 각각 포함한 도 5a 및 도 5b를 참조하면, 스페이서 층(55)이 도 4a 및 도 4b의 웨이퍼(100) 위에 형성된 블랭킷(blanket)이다. 스페이서 층(55)의 재료는 유전성 하드 마스크 층(30)에 대해 높은 에칭 선택비를 갖도록 선택될 수 있다. 예를 들면, 스페이서 층(55)의 재료는 AlO, AlN, AlON, TaN, TiN, TiO, Si, SiO, SiN, 기타 금속 및 금속 합금으로부터 선택될 수 있다.
또한 도 5b에 도시한 바와 같이, 스페이서 층(55)은 수평 부분의 두께(T1)와 수직 부분 두께(T2)가 서로에 근사한, 예를 들면 T1과 T2 간의 차이가 두께(T1)의 약 20%보다 작은 컨포멀 층(conformal layer)으로서 형성된다.
이어서, 비등방성 에칭을 수행하여, 스페이서 층(55)의 수평 부분을 제거하고 스페이서 층(55)의 수직 부분은 남기며, 이를 이하에서는 스페이서(58)로 지칭한다. 그 얻어진 구조가 평면도 및 단면도를 각각 포함한 도 6a 및 도 6b에 도시되어 있다.
스페이스 층(55)(도 5b 참조)이 형성될 때, 스페이서 층(55)의 두께(T2)는 또한 개구(44)의 폭(W1)(도 1a 참조)의 절반 이상이다. 따라서, 도 5b에 도시한 바와 같이, 개구(44)의 대향한 양 측벽 상에 위치하는 스페이서 층(55)의 측벽(수직) 부분들은 서로 합쳐져 개구(44) 전체를 채운다. 그 결과, 개구(44) 아래에 놓인 유전성 하드 마스크(30)의 부분이 스페이서(58)에 의해 완전히 덮인다. 몇몇 실시예에서, 스페이서(58)는 개구(44)의 전체 또는 그 하부만을 채운다. 한편, 도 6a에 도시한 바와 같이, 개구(54)의 폭(W4)은 스페이서 층(55)의 두께(T2)(도 5b 참조)의 2배보다 커서, 각 개구(54)는 (평면도에 있어서) 스페이서(58)에 의해 채워지지 않은 중앙부(54')를 갖는다. 도 6b에 도시한 바와 같이, 유전성 하드 마스크(30)는 개구(54')를 통해 노출된다.
도 7a, 도 7b, 도 8a 및 도 8b에서는 도 6a에 파선 직사각형(57)에 의해 표시한 바와 같은 일부 원치 않는 맨드릴 부분이 에칭되어 있다. 평면도 및 단면도를 각각 포함한 도 7a 및 도 7b를 참조하면, 바닥층(68), 중간층(70) 및 상부층(72)이 형성되어 있다. 바닥층(68), 중간층(70) 및 상부층(72)의 재료는 바닥 층(38), 중간층(40) 및 상부층(42)(도 1b 참조) 각각과 동일한 재료 후보군으로부터 선택될 수 있다. 상부층(72)은 개구(74; 74A, 74B, 및 74C를 포함)들을 형성하도록 패터닝된다. 맨드릴(56A)은 상부층(72)과 겹쳐진다. 맨드릴(56B)은 개구(74B)와 겹쳐지는 한편, 맨드릴(56C)의 제1 부분은 개구(74)와 겹쳐지고 제2 부분은 상부층(72)과 겹쳐진다.
이어서, 평면도 및 단면도를 각각 포함한 도 8a 및 도 8b에 도시한 바와 같이, 에칭 공정을 수행하여 맨드릴(56B, 56C)(도 6a 및 도 6b 참조)의 부분들을 제거한다. 맨드릴(56D)의 제1 부분은 제거되는 한편, 맨드릴(56D)의 제2 부분은 남긴다. 도 8a 및 도 8b에 도시한 바와 같이, 개구(83; 83A 및 83B를 포함)가 인접한 스페이서(58)들 사이에 형성된다. 스페이서(58)는 에지(58A, 58B)를 포함한다. 에지(58A)는 스페이서 층(55)(도 5a, 도 5b, 도 6a 및 도 6b 참조)의 에칭으로 인해 형성된다. 따라서, 에지(58A)는 경사져 있다. 스페이서(58)의 에지(58B)는 맨드릴(56)의 제거로 인해 형성된다. 맨드릴(56)은 상부 폭이 해당 저부 폭보다 크기 때문에, 초래되는 에지(58B) 역시 경사져 있다. 따라서, 개구, 예를 들면 개구(83B)는 상부 폭이 해당 저부 폭보다 크다. 따라서, 개구(83)는 혼(horn) 형상을 갖는 것으로 지칭된다. 게다가, 개구(54')도 역시 혼 형상을 갖는다. 이는 도 10에 도시한 바와 같은 후속한 갭 충전 공정에 유익하다. 비교하자면, 맨드릴(56)이 수직 에지를 갖는 경우, 개구(83)가 수직 에지를 갖게 되고, 후속한 갭 충전은 보다 어려워진다.
도 9를 참조하면, 맨드릴(56) 및 스페이서(58)는 함께 트렌치(84)를 형성하도록 그 아래의 유전성 하드 마스크(30) 및 로우-k 유전층(28)을 에칭하기 위한 에칭 마스크로서 이용된다. 또한, 추가의 처리 공정이 로우-k 유전층(28) 및 유전층(27)을 획정 및 에칭하여, 트렌치(84) 아래에 비아 개구(86)를 형성하도록 수행된다. 에치 스톱 층(26) 또한 에칭된다. 트렌치(84) 및 비아 개구(86)를 통해 도전 피쳐(126)가 노출된다. 트렌치(84)와 비아 개구(86)가 도시한 평면에서 동일한 폭을 갖는 것으로 도시되어 있지만, 도시한 평면에 직교하는 평면에서는 비아 개구(86)가 트렌치(84)보다 작은 폭을 갖는다.
도 9에 도시한 바와 같이, 맨드릴(56)/스페이서(58)와 그 아래의 로우-k 유전층(28) 사이에 금속 하드 마스크 층은 존재하지 않는다(단일의 유전성 하드 마스크 층만이 존재). 따라서, 맨드릴(56) 및 스페이서(58)에 의해 획정된 패턴은, 먼저 금속 하드 마스크에 전사한 후에 그 금속 하드 마스크에서 로우-k 유전층(28)에 전사할 필요 없이, 로우-k 유전층(28)에 바로 전사될 수 있다. 따라서, 금속 하드 마스크를 에칭 및 라운딩하는 데에 필요로 하였을 관련 공정 단계들이 더 이상은 필요하지 않다. 제조비용이 절감된다. 게다가, 에칭 수고를 덜 수 있어, 로우-k 유전층(28)의 소상이 덜 심각하다.
도 10 및 도 11은 트렌치(84) 및 비아 개구(86) 내에 도전 재료(85)를 채우고 노출된 도전 재료(85)(도 10 참조)를 제거하여, 금속 라인(88) 및 비아(90)(도 11 참조)를 형성하는 것을 각각 도시하고 있다. 이러한 형성은, 티타늄 질화물, 티타늄, 탄탈 질화물, 탄탈 등의 도전성 배리어 층이 트렌치(84) 및 비아 개구(86)의 측벽 및 저부벽에 형성되는 이중 다마신 공정(dual damascene process)을 이용한다. 이어서, 트렌치(84)와 비아 개구(86)의 나머지 부분은 구리 또는 구리 합금을 포함할 수 있는 도전 재료(85)로 채워진다. 도 7a 및 도 7b에 도시한 바와 같이, 상부 폭이 해당 저부 폭보다 큰 개구(83)(도 9 참조)에 있어서, 개구(83)의 입구는 수직 개구보다 크며, 이에 따라 공극을 생성할 가능성을 감소시킨 채 도전 재료(85)의 갭 충전을 보다 용이하게 행할 수 있다.
이어서, 화학 기계적 폴리싱(CMP)이 수행되어, 배리어 층 및 충전 재료의 과잉 부분을 제거하여 도 11에 도시한 바와 같은 금속 라인(88) 및 비아(90)를 형성한다. 맨드릴(56) 및 스페이서(58)도 CMP에 의해 제거된다. 금속 라인(88) 및 비아(90)는 그 아래의 도전 피쳐(126)에 전기적으로 연결된다. CMP는 도 11에 도시한 바와 같이 로우-k 유전층(28) 상에서 멈추거나, 유전성 하드 마스크 층(30) 상에서 멈출 수 있다.
대안적인 실시예에서, 타겟 층(28)은 반도체 재료이다. 따라서, 도 1 내지 도 10에 도시한 공정 단계들은 타겟 층(28)에 트렌치를 형성하고 그 트렌치를 유전 재료로 채움으로써 셀로우 트렌치 아이솔레이션(Shallow Trench Isolation: STI) 영역을 형성하는 데에 이용될 수도 있다.
도 12a는 로우-k 유전층(28)에 형성된 금속 라인(88)의 평면도를 도시하고 있다. 도 12a에 도시한 바와 같이, 금속 라인(88)은 금속 라인(88A, 88B, 88C, 88D)을 포함한다. 금속 라인(88A, 88B)은 서로 평행하고 근접하여 위치한다. 금속 라인(88A, 88B)은 개구(54')(도 8a 및 도 8b 참조)로부터 형성된다. 금속 라인(88C, 88D)은 금속 라인(88A, 88B) 사이에 위치한다. 금속 라인(88C, 88D)은 개구(83A)(도 8a 및 도 8b 참조)로부터 형성된다. 금속 라인(88C, 88D)의 길이 방향(길이 방향 중심선)은 동일한 직선(21)으로 정렬된다. 몇몇 실시예에 따르면, 금속 라인(88C, 88D)들 사이의 라인 단부 공간(S1)은 약 5 nm 내지 약 100 nm이다. 하지만, 발명의 상세한 설명에 걸쳐 기재한 값들은 단지 예시일 뿐, 다른 값으로 변경될 수도 있다는 점을 이해할 것이다. 도 12a에 도시한 바와 같이, 금속 라인(88A)은 직사각형의 메인 부분(88A1)과, 에지(88A3)를 지나 금속 라인(88C, 88D)들 사이의 공간을 향해 돌출하는 선단(88A2)을 포함한다. 마찬가지로, 금속 라인(88B)도 직사각형의 메인 부분(88B1)과, 에지(88B3)를 지나 금속 라인(88C, 88D)들 사이의 공간을 향해 돌출하는 선단(88B2)을 포함한다. 그 선단 부분들은 도 8a 및 도 8b에 도시한 바와 같이 스페이서(58; 58A 및 58B를 포함)의 형성으로 형성된 것으로, 개구(54')가 그 선단 부분들을 구비하고 있다.
도 12b 및 도 12c는 도 12a에 도시한 구조의 단면도로서, 도 12a에서 라인 A-A 및 B-B를 각각 포함한 수직 평면으로부터 취해진 것이다.
본 발명의 실시예들 및 그 이점에 대해서 상세하게 설명하였지만, 첨부된 청구범위에 의해 한정되는 바와 같은 실시예의 사상 및 범위로부터 벗어남이 없이 다양한 변화, 대체 및 변경이 이루어질 수 있다는 것을 알아야 한다. 또한, 본 출원의 범위는 본 명세서에 설명된 프로세스, 장치, 제조, 물질의 조성, 수단, 방법 및 단계들의 특정 실시예로 제한되지 않는다. 당업자라면 본 개시로부터 쉽게 이해할 수 있는 바와 같이, 본 명세서에 설명한 상응하는 실시예들과 실질적으로 동일한 결과를 달성할 수 있거나 실질적으로 동일한 기능을 수행하는 것으로서, 현재에 존재하는 또는 추후에 개발될, 프로세스, 장치, 제조, 물질의 조성, 수단, 방법, 또는 단계들도 본 개시에 따라 이용될 수 있다. 따라서, 첨부된 청구범위는 그러한 프로세스, 장치, 제조, 물질의 조성, 수단, 방법, 또는 단계들을 그 범위 내에 포함하고자 한 것이다. 게다가, 각각의 청구항은 별개의 실시예를 구성하며, 여러 청구항 및 실시예들의 조합이 본 개시의 범위 내에 포함된다.
26: 에치 스톱 층
27: 산화물층
28: 타겟 층(로우-k 유전층)
30: 유전성 하드 마스크 층
36: 맨드릴 층
44: 개구
54: 개구
54': 개구(54)의 중앙부
55: 스페이서 층
56: 맨드릴
58: 스페이서
84: 트렌치
85: 도전 재료
86: 비아 개구
88: 금속 라인
90: 비아
100: 웨이퍼
120: 기판

Claims (20)

  1. 타겟 층 위에 맨드릴 층(mandrel layer)을 형성하는 단계;
    상기 맨드릴 층을 에칭하여 맨드릴을 형성하되, 상기 맨드릴은 상부 폭이 해당 저부 폭보다 크며, 상기 맨드릴은 맨드릴 층에 제1 개구를 획정하며, 이 제1 개구는 I-형상을 갖고 2개의 평행 부분 및 이들 2개의 평행 부분을 서로 연결하는 연결 부분을 포함하는 것인, 맨드릴을 형성하는 단계;
    상기 제1 개구의 측벽에 스페이서를 형성하되, 이 스페이서가 상기 연결 부분을 채우고 상기 2개의 평행 부분 각각의 중앙부는 상기 스페이서에 의해 채워지지 않는 것인, 스페이서를 형성하는 단계; 및
    상기 스페이서에 의해 채워지지 않은 제1 개구의 부분을 상기 타겟 층 내로 연장시키는 단계
    를 포함하는 반도체 장치 형성 방법.
  2. 제1항에 있어서, 상기 맨드릴 층을 에칭하여, 제2 개구를 형성하도록 상기 맨드릴 중 하나를 제거하는 단계를 더 포함하며, 상기 제2 개구는 상부 폭 및 이 상부 폭보다 작은 저부 폭을 갖는 것인 반도체 장치 형성 방법.
  3. 제1항에 있어서, 상기 제1 개구를 타겟 층 내로 연장시킨 후에, 상기 제1 개구를 도전 재료로 채우는 단계를 더 포함하며, 상기 도전 재료는 상기 맨드릴 및 스페이서 위에서 이에 접촉하는 것인 반도체 장치 형성 방법.
  4. 제1항에 있어서, 상기 스페이서를 형성하는 단계는,
    상기 제1 개구의 연결 부분이 스페이서 층에 의해 완전히 채워지게 스페이서 층을 상기 맨드릴 위에, 그리고 상기 맨드릴의 측벽 상에 형성하는 단계; 및
    비등방성 에칭을 수행하여, 상기 스페이서 층의 수평 부분을 제거하는 단계
    를 포함하는 것인 반도체 장치 형성 방법.
  5. 타겟 층 위에 맨드릴 층을 형성하는 단계;
    상기 맨드릴 층에 제1 개구를 형성하도록 상기 맨드릴 층 상에 제1 에칭 단계를 수행하는 단계;
    서로 평행한 제2 개구 및 제3 개구를 형성하도록 상기 맨드릴 층 상에 제2 에칭 단계를 수행하되, 상기 제1 개구의 양 단부는 제2 개구 및 제3 개구에 연결되어, I-형 개구를 형성하는 것인 제2 에칭 단계를 수행하는 단계;
    상기 맨드릴 층 위에 상기 I-형 개구 내로 연장하는 블랭킷 스페이서 층을 형성하는 단계;
    상기 맨드릴 층 위의 상기 블랭킷 스페이서 층의 일부분을 제거하되, 상기 블랭킷 스페이서 층의 나머지 부분이 스페이서를 형성하며, 상기 스페이서는 상기 제1 개구를 채우는 연결 부분을 포함하고 상기 제2 개구 및 제3 개구의 중앙부는 상기 스페이서에 의해 채워지지 않는 것인, 상기 블랭킷 스페이서 층의 일부분을 제거하는 단계;
    상기 맨드릴 층의 일부분을 제거하여 상기 맨드릴 층에 제4 개구 및 제5 개구를 형성하도록 상기 맨드릴 층을 에칭하되, 상기 제4 개구 및 제5 개구는 상기 제2 개구와 제3 개구 사이에 위치하는 것인, 상기 맨드릴 층을 에칭하는 단계;
    상기 맨드릴 층 및 상기 스페이서를 에칭 마스크로서 이용하여 상기 타겟 층에 트렌치를 형성하도록 상기 타겟 층을 에칭하는 단계; 및
    상기 맨드릴 층의 나머지 부분 및 상기 스페이서 위에서 이에 접촉하는 부분을 포함하는 재료로 상기 트렌치를 채우는 단계
    를 포함하는 반도체 장치 형성 방법.
  6. 제5항에 있어서, 상기 제2 에칭 단계 후에, 상기 맨드릴 층의 나머지 부분은 맨드릴을 포함하며, 이 맨드릴은 상부 폭이 해당 저부 폭보다 큰 것인 반도체 장치 형성 방법.
  7. 제5항에 있어서, 상기 제2 에칭 단계는 필수적으로 CF4, HBr, Cl2, O2 및 그 조합으로 이루어진 군으로부터 선택되는 프로세스 가스를 이용하여 수행하는 것인 반도체 장치 형성 방법.
  8. 제5항에 있어서, 상기 타겟 층은 로우-k 유전 재료를 포함하며, 상기 트렌치를 채우는 단계는 구리를 채우는 단계를 포함하며, 상기 방법은 상기 맨드릴을 제거하도록 화학 기계적 폴리싱(CMP)을 수행하는 단계를 더 포함하는 것인 반도체 장치 형성 방법.
  9. 로우-k 유전층 위에 맨드릴 층을 형성하는 단계;
    상기 맨드릴 층에 제1 개구를 형성하도록 상기 맨드릴 층 상에 제1 에칭 단계를 수행하는 단계;
    서로 평행한 제2 개구 및 제3 개구를 형성하도록 상기 맨드릴 층 상에 제2 에칭 단계를 수행하되, 상기 맨드릴 층의 나머지 부분은 상부 폭 및 해당 상부 폭보다 작은 저부 폭을 갖는 맨드릴을 포함하며, 상기 제1 개구의 양 단부는 상기 제2 개구 및 제3 개구에 연결되어, I-형 개구를 형성하는 것인, 제2 에칭 단계를 수행하는 단계;
    상기 맨드릴 층 위에 상기 I-형 개구 내로 연장하는 블랭킷 스페이서 층을 형성하는 단계;
    상기 맨드릴 층 위의 상기 블랭킷 스페이서 층의 일부분을 제거하되, 상기 블랭킷 스페이서 층의 나머지 부분이 스페이서를 형성하며, 상기 스페이서는 상기 제2 개구 및 제3 개구와 겹쳐지지 않는 상기 제1 개구의 부분의 전체를 채우는 연결 부분을 포함하며, 상기 제2 개구 및 제3 개구의 중앙부는 상기 스페이서에 의해 채워지지 않는 것인, 상기 블랭킷 스페이서 층의 일부분을 제거하는 단계; 및
    상기 스페이서의 연결 부분의 양측에서 상기 제2 개구와 제3 개구 사이에 위치하는 제4 개구 및 제5 개구를 상기 맨드릴 층에 형성하도록 맨드릴의 일부분을 에칭하는 단계
    를 포함하는 반도체 장치 형성 방법.
  10. 제9항에 있어서, 상기 맨드릴 층 및 상기 스페이서를 에칭 마스크로서 이용하여 상기 로우-k 유전층에 트렌치를 형성하도록 상기 로우-k 유전층을 에칭하는 단계; 및
    상기 맨드릴 층의 나머지 부분 및 상기 스페이서 위에서 이에 접촉하는 부분을 포함하는 재료로 상기 트렌치를 채우는 단계
    를 더 포함하는 것인 반도체 장치 형성 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020140173793A 2013-12-05 2014-12-05 혼 형상 스페이서를 이용한 트렌치 형성 KR101696982B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/097,617 US9136162B2 (en) 2013-12-05 2013-12-05 Trench formation using horn shaped spacer
US14/097,617 2013-12-05

Publications (2)

Publication Number Publication Date
KR20150065609A KR20150065609A (ko) 2015-06-15
KR101696982B1 true KR101696982B1 (ko) 2017-01-16

Family

ID=53271911

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140173793A KR101696982B1 (ko) 2013-12-05 2014-12-05 혼 형상 스페이서를 이용한 트렌치 형성

Country Status (3)

Country Link
US (3) US9136162B2 (ko)
KR (1) KR101696982B1 (ko)
CN (1) CN104701247B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105161425A (zh) * 2015-07-30 2015-12-16 南通富士通微电子股份有限公司 半导体叠层封装方法
CN105161424A (zh) * 2015-07-30 2015-12-16 南通富士通微电子股份有限公司 半导体叠层封装方法
WO2017189993A1 (en) * 2016-04-29 2017-11-02 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10475700B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
US10529617B2 (en) * 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US11462469B2 (en) * 2018-09-27 2022-10-04 Intel Corporation Single mask lithography line end enhancement

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101312773B1 (ko) * 2011-11-16 2013-09-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반전 톤 sti 형성

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070001509A (ko) * 2005-06-29 2007-01-04 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100842763B1 (ko) * 2007-03-19 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20120175745A1 (en) * 2011-01-06 2012-07-12 Nanya Technology Corporation Methods for fabricating semiconductor devices and semiconductor devices using the same
CN103999191B (zh) * 2011-12-15 2016-10-19 英特尔公司 用于单次曝光-自对准的双重、三重以及四重图案化的方法
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US8846490B1 (en) * 2013-03-12 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101312773B1 (ko) * 2011-11-16 2013-09-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반전 톤 sti 형성

Also Published As

Publication number Publication date
US9514979B2 (en) 2016-12-06
US20150371897A1 (en) 2015-12-24
CN104701247B (zh) 2017-11-24
US9947535B2 (en) 2018-04-17
US20150162238A1 (en) 2015-06-11
CN104701247A (zh) 2015-06-10
KR20150065609A (ko) 2015-06-15
US20170084460A1 (en) 2017-03-23
US9136162B2 (en) 2015-09-15

Similar Documents

Publication Publication Date Title
US10170306B2 (en) Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US10840097B2 (en) Semiconductor methods and devices
KR101696982B1 (ko) 혼 형상 스페이서를 이용한 트렌치 형성
KR102108234B1 (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
US8563373B2 (en) Semiconductor device having vertical channels and method of manufacturing the same
US8993433B2 (en) Manufacturing method for forming a self aligned contact
KR102111418B1 (ko) 자기 정렬 스페이서 패터닝을 사용하여 형성되는 플렉서블 스페이스를 가진 메탈 라우팅
US11676821B2 (en) Self-aligned double patterning
US10692728B2 (en) Use of selective aluminum oxide etch
KR20190003300A (ko) 반도체 디바이스 및 방법
US11784056B2 (en) Self-aligned double patterning
KR101095673B1 (ko) 반도체소자의 랜딩플러그 형성방법
KR20230131101A (ko) 반도체 구조의 제조 방법 및 반도체 구조
KR100636921B1 (ko) 반도체 소자의 형성 방법
KR20090022381A (ko) 반도체 소자의 콘택 플러그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 4