KR20190003300A - 반도체 디바이스 및 방법 - Google Patents

반도체 디바이스 및 방법 Download PDF

Info

Publication number
KR20190003300A
KR20190003300A KR1020170167681A KR20170167681A KR20190003300A KR 20190003300 A KR20190003300 A KR 20190003300A KR 1020170167681 A KR1020170167681 A KR 1020170167681A KR 20170167681 A KR20170167681 A KR 20170167681A KR 20190003300 A KR20190003300 A KR 20190003300A
Authority
KR
South Korea
Prior art keywords
layer
mask
spacer
mandrel
patterning
Prior art date
Application number
KR1020170167681A
Other languages
English (en)
Other versions
KR102063236B1 (ko
Inventor
쿠안-웨이 후앙
유-유 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190003300A publication Critical patent/KR20190003300A/ko
Application granted granted Critical
Publication of KR102063236B1 publication Critical patent/KR102063236B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 디바이스 및 방법은 방법을 포함한다. 상기 방법은 제1 마스크 층 위에 복수의 제1 맨드렐을 패터닝하는 단계를 포함한다. 이 방법은 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계를 더 포함한다. 이 방법은 제1 스페이서 층의 수평 부분을 제거하고, 제1 스페이서 층의 수직 부분을 남기면서 제1 스페이서를 형성하는 단계를 더 포함한다. 이 방법은 제1 스페이서 층의 수평 부분을 제거한 후에, 제1 스페이서들 사이에 역 재료를 퇴적하는 단계를 더 포함한다. 이 방법은 제1 스페이서 및 리버스 재료를 조합하여 제1 에칭 마스크로서 제1 마스크 층을 패터닝하는 단계를 더 포함한다.

Description

반도체 디바이스 및 방법{SEMICONDUCTOR DEVICE AND METHOD}
우선권 주장
본 명세서는 2017년 6월 30일자로 출원되고 명칭이 "반도체 디바이스 및 방법"인 미국 가출원 제62/527,728호의 우선 이익을 주장하며, 이 출원은 본원에서 참조에 의해 통합된다.
배경
반도체 디바이스의 다운 스케일링이 증가함에 따라, 포토리소그래피와 같은 다양한 프로세싱 기술이 점차적으로 더 작은 치수를 갖는 디바이스의 제조를 허용하도록 채택된다. 그러나 반도체 프로세스의 프로세스 윈도우가 점점 더 작아짐에 따라, 이들 디바이스의 제조는 포토리소그래피 장비의 이론적인 한계에 도달하였고 심지어 이를 능가한다. 반도체 디바이스가 계속 축소됨에 따라, 소자들 사이의 원하는 간격(즉, 피치)은 종래의 광학 마스크 및 포토리소그래피 장비를 사용하여 제조될 수 있는 피치보다 작다.
본 개시의 양태들은 첨부된 도면들과 함께 읽혀질 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들이 일정한 비율로 그려지지 않는 점이 강조된다. 실제로, 다양한 피처들의 치수는 논의의 명확성을 위해 임의로 증가되거나 감소될 수도 있다.
도 1 내지 도 13은 일부 실시형태에 따른, 웨이퍼 상의 타겟 층에 피처를 형성할 때의 중간 단계의 단면도를 도시한다.
도 14a 내지 도 22b는 일부 실시형태에 따른, 타겟 층에서의 피처의 형성에 있어서의 추가의 중간 단계의 사시도 및 단면도를 도시한다.
도 23a 내지 도 26b는 일부 실시형태에 따른, 타겟 층에서의 피처의 형성에 있어서의 추가의 중간 단계의 사시도 및 단면도를 도시한다
다음의 개시는 발명의 상이한 피처(feature)를 구현하기 위한 많은 다른 실시형태들 또는 예들을 제공한다. 본 개시를 간소화하기 위해 구성요소 및 배열의 특정예가 이하에서 설명된다. 물론, 이들은 단지 일례일 뿐이며, 제한적인 것으로 의도되지 않는다. 예를 들어, 후속하는 설명에서 제2 피처 상에 또는 그 위에 제1 피처를 형성하는 것은, 제1 피처와 제2 피처가 직접 접촉하여 형성되는 실시형태들을 포함할 수 있으며, 제1 피처와 제2 피처가 직접 접촉하지 않도록 제1 피처와 제2 피처 사이에 추가의 피처가 형성될 수도 있는 실시형태들도 또한 포함할 수도 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수도 있다. 이러한 반복은 간소화와 명료화를 위한 것이며, 그 자체로는 논의되는 다양한 실시형태 및/또는 구성 사이의 관계에 영향을 주지는 않는다.
또한,“아래(beneath)”,“아래쪽(below)”,“하부(lower)”,“위(above)”,“상부(upper)”등과 같은 공간적으로 상대적인 용어는, 도면에 나타낸 하나의 요소 또는 피처에 대한 또 다른 요소(들) 또는 피처(들)의 관계를 논의하기 위해 설명의 편의상 여기에 사용될 수도 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향 외에도 사용 중이거나 동작 중인 디바이스의 상이한 배향들을 포괄하도록 의도된다. 장치는 다른 방식으로 배향될 수도 있고(90도 회전되거나 기타의 배향을 가짐), 여기서 사용된 공간적으로 상대적인 기술어(descrtiptor)는 그에 따라 유사하게 해석될 수도 있다.
일부 실시형태에 따라, 반도체 디바이스 및 방법이 제공된다. 특히, 자기 정렬형 4중(quadruple) 패터닝 프로세스가 기판 상의 패턴 라인에 대하여 수행된다. 패터닝된 라인은 최소 포토리소그래피 피치의 1/4인 피치를 갖는다. 제1 패터닝된 라인들이 형성되고, 제2 패터닝된 라인들은 제1 패터닝된 라인들 사이에 형성되고, 제3 패터닝된 라인들은 제1 패터닝된 라인과 제2 패터닝된 라인 사이에 형성된다. 라인 패턴의 형성이 완료된 후, 라인 패턴 위에 마스크 층이 형성된다. 3층 포토레지스트를 사용하여 라인 패턴에 대한 절단 패턴으로 마스크 층을 패터닝한다. 그 후, 절단 패턴이 라인 패턴으로 전사된다. 절단 라인 패턴은 하부 타겟 층을 패터닝하기 위한 마스크로서 사용된다. 하부 타겟 층은 다양한 목적을 위해 사용되는 층일 수도 있다. 예를 들어, 타겟 층은 상호 접속 라인의 형성을 위한 로우-k(low-k) 유전체 층일 수도 있다. 대안적으로, 타겟 층은 반도체 기판일 수도 있고, 패턴은 기판 상에 형성된 반도체 핀에 대응할 수도 있다. 모든 라인 패턴이 형성된 후에 라인 패턴을 절단하는 것은 라인을 패터닝하는 중간 단계 동안에 라인 패턴을 절단하는 것과 관련된 복잡성을 감소시킬 수 있으며, BEOL 프로세싱을 단순화할 수도 있다. 또한, 모든 라인 패턴이 형성된 후에 라인 패턴을 절단하는 것은 절단 중에 형성될 수도 있는 결함의 양을 감소시킬 수도 있다.
도 1 내지 도 13은 일부 예시적인 실시형태에 따른, 웨이퍼(100) 상의 타겟 층(102)에서의 피처의 형성에 있어서의 중간 단계의 단면도를 도시한다. 타겟 층(102)은 본 개시의 실시형태에 따른, 자기 정렬 4중 패터닝(self-aligned quadruple patterning; SAQP) 프로세스에서 에칭되고 복수의 패턴이 형성되는 층이다.
웨이퍼(100)는 여러 영역을 가질 수도 있다. 예를 들어, 도시된 예시적인 실시형태는 제1 영역(100A) 및 제2 영역(100B)을 도시한다. 상이한 영역들은 형성된 피처들 사이에 상이한 피치를 가지도록 프로세싱될 수도 있다. 특히, 일부 영역은 크기가 최소 포토리소그래피 피치의 1/4인 피처를 형성하도록 프로세싱될 수도 있고, 다른 영역은 크기가 더 큰 피처를 형성할 수도 있다. 도시된 실시형태에서, 제1 영역(100A)의 패턴은 최소 포토리소그래피 피치의 1/4에 형성되고, 제2 영역(100B)의 패턴은 최소 포토리소그래피 피치의 일부일 수도 있거나 일부가 아닐 수도 있는 상이한 피치로 형성된다.
일부 실시형태에서, 타겟 층(102)은 IMD(inter-metal dielectric) 층이다. 이러한 실시형태에서, IMD 층은 능동 디바이스를 포함하는 기판 위에 형성될 수도 있고, 구리 라인, 구리 비아, 및/또는 코발트 플러그와 같은 도전성 피처가 IMD 층에 형성될 수도 있다. IMD 층은 예를 들어, 3.8 미만, 약 3.0 미만, 또는 약 2.5 미만의 유전 상수(k 값)를 갖는 유전체 재료로 형성될 수도 있다. 대안적인 실시형태에서, IMD 층은 3.8보다 높은 k 값을 갖는 하이-k 유전체 층이다. IMD 층은 SAQP 프로세스로 패터닝될 수도 있으며, 후속 프로세스 단계는 IMD 층에 금속 라인 및/또는 비아를 형성하는데 사용될 수도 있다.
일부 실시형태에서, 타겟 층(102)은 반도체 기판이다. 반도체 기판은 실리콘, 실리콘 게르마늄 등과 같은 반도체 재료로 형성될 수도 있다. 일부 실시형태에서, 반도체 기판은 결정질 실리콘 기판, 결정질 실리콘 탄소 기판, 결정질 실리콘 게르마늄 기판, III-V 화합물 반도체 기판 등과 같은 결정질 반도체 기판이다. 반도체 기판은 SAQP 프로세스로 패터닝될 수도 있으며, 후속 프로세스 단계는 기판에 얕은 트렌치 격리(shallow trench isolation; STI) 영역을 형성하는데 사용될 수도 있다. 반도체 핀은 형성된 STI 영역들 사이에서 돌출할 수도 있다. 소스/드레인 영역이 반도체 핀에 형성될 수도 있고, 게이트 유전체 및 전극 층이 핀의 채널 영역 위에 형성되어, 웨이퍼(100) 상에 핀 전계 효과 트랜지스터(fin field effect transistor; finFET)와 같은 반도체 디바이스를 형성할 수도 있다.
도 1에서, 타겟 층(102)을 포함하는 막 스택이 형성된다. 타겟 층(102)은 에칭 정지 층(104) 상에 형성될 수도 있으며, 타겟 층(102)에 대해 후속적으로 수행되는 에칭 프로세스를 위한 정지부로서 기능할 수도 있다. 에칭 정지 층(104)을 형성하는데 사용되는 재료 및 프로세스는 타겟 층(102)의 재료에 의존할 수도 있다. 일부 실시형태에서, 에칭 정지 층(104)은 실리콘 질화물, SiON, SiCON, SiC, SiOC, SiCxNy, SiOx, 다른 유전체, 이들의 조합 등으로 형성될 수도 있고, 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD), 저압 CVD(LPCVD), 플라즈마 기상 증착(plasma vapor deposition; PVD) 등에 의해 형성될 수도 있다. 에칭 정지 층(104)은 선택적이며, 타겟 층(102)이 finFET를 형성하는데 사용되는 반도체 기판인 실시형태에 있어서, 에칭 정지 층(104)은 생략될 수도 있다.
막 스택은 타겟 층(102) 상에 형성된 반사 방지 코팅(anti-reflective coating; ARC)(106)을 더 포함한다. ARC(106)는 포토레지스트 층을 패터닝하는 동안에 (아래에 논의된) 위에 놓인 포토레지스트 층의 노광 및 초점을 돕는다. 일부 실시형태에서, ARC(106)는 SiON, 실리콘 카바이드, 산소(O) 및 질소(N)로 도핑된 재료 등으로 형성될 수도 있다. 일부 실시형태에서, ARC(106)는 질소가 실질적으로 없으며, 산화물로 형성될 수도 있다. 이러한 실시형태에서, ARC(106)는 또한 질소가 없는 ARC(nitrogen-free ARC; NFARC)로 지칭될 수도 있다. ARC(106)는 플라즈마 강화 화학적 기상 증착(PECVD), 고밀도 플라즈마(High-Density Plasma; HDP) 증착 등에 의해 형성될 수도 있다.
막 스택은 ARC(106) 상에 형성된 하드 마스크 층(108)을 더 포함한다. 하드 마스크 층(108)은 티타늄 질화물, 티타늄, 탄탈륨 질화물, 탄탈륨 등과 같은 금속을 포함하는 재료로 형성될 수도 있으며, PVD, 무선 주파수 PVD(RFPVD), 원자 층 증착(Atomic Layer Deposition; ALD) 등에 의해 형성될 수도 있다. 하드 마스크 층(108)은 또한 SiN과 같은 비금속 재료로 형성될 수도 있다. 하드 마스크 층(108)의 재료는 동일한 에칭 프로세스에 관하여 타겟 층(102)에 대해 선택성이 있다. 후속 프로세스 단계에서, 패턴은 SAQP 프로세스를 사용하여 하드 마스크 층(108) 상에 형성된다. 하드 마스크 층(108)은 에칭 마스크로서 사용되며, 여기서 하드 마스크 층(108)의 패턴은 타겟 층(102)으로 전사된다.
막 스택은 하드 마스크 층(108) 상에 형성된 제1 유전체 하드 마스크 층(110)을 더 포함한다. 상기 제1 유전체 하드 마스크 층(110)은 보로포스포실리케이트 테트라에틸오르소실리케이트(BPTEOS) 또는 도핑되지 않은 테트라에틸오르소실리케이트(TEOS) 산화물과 같은 실리콘 산화물로 형성될 수도 있고, CVD, ALD, 스핀-온 코팅 등에 의해 형성될 수도 있다. 제1 유전체 하드 마스크 층(110)은 제1 맨드렐 층(112)의 에칭 동안에 에칭 정지 층으로서 기능한다. 일부 실시형태에서, 제1 유전체 하드 마스크 층(110)은 또한 반사 방지 코팅으로서 기능한다.
막 스택은 제1 유전체 하드 마스크 층(110) 상에 형성된 제1 맨드렐 층(112)을 더 포함한다. 제1 맨드렐 층(112)은 비정질 실리콘과 같은 반도체, 또는 하부 층 예를 들어, 제1 유전체 하드 마스크 층(110)과의 높은 에칭 선택도를 갖는 다른 재료로 형성될 수도 있다.
막 스택은 제1 맨드렐 층(112) 상에 형성된 제2 유전체 하드 마스크 층(114)을 더 포함한다. 상기 제2 유전체 하드 마스크 층(114)은 상기 제1 유전체 하드 마스크 층(110)의 동일한 후보 재료 중에서 선택되는 재료로 형성될 수도 있으며, 상기 제1 유전체 하드 마스크 층(110)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택되는 방법을 사용하여 형성될 수도 있다. 제1 유전체 하드 마스크 층(110) 및 제2 유전체 하드 마스크 층(114)은 동일한 재료로 형성될 수도 있거나, 상이한 재료를 포함할 수도 있다. 제2 유전체 하드 마스크 층(114)은 제1 맨드렐층(112)에 대하여 높은 에칭 선택도를 갖는 재료로 형성된다.
막 스택은 제2 유전체 하드 마스크 층(114) 상에 형성된 제2 맨드렐 층(116)을 더 포함한다. 제2 맨드렐 층(116)은 제1 맨드렐 층(112)의 동일한 후보 재료로부터 선택된 재료로 형성될 수도 있고, 제1 맨드렐 층(112)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택되는 방법을 사용하여 형성될 수도 있다. 제1 맨드렐 층(112) 및 제2 맨드렐 층(116)은 동일한 재료로 형성될 수도 있거나, 상이한 재료를 포함할 수도 있다. 제2 맨드렐 층(116)은 제2 유전체 하드 마스크 층(114)에 대하여 높은 에칭 선택도를 갖는 재료로 형성된다.
또한, 도 1에서, 3층의 포토레지스트가 막 스택 상에 형성된다. 3층 포토레지스트는 하부 층(118), 하부 층(118) 위의 중간 층(120), 및 중간 층(120) 위의 상부 층(122)을 포함한다. 하부 층(118) 및 상부 층(122)은 유기 재료를 포함하는 포토레지스트로 형성될 수도 있다. 하부 층(118)은 하부 반사 방지 코팅(bottom anti-reflective coating; BARC)일 수도 있다. 중간 층(120)은 무기 재료로 형성되거나 또는 무기 재료를 포함할 수도 있으며, 이러한 무기 재료는, (실리콘 질화물과 같은) 질화물, (실리콘 산질화물과 같은) 산질화물, (실리콘 산화물과 같은) 산화물 등일 수도 있다. 상부 층(122)은 감광성 재료이다. 중간 층(120)은 상부 층(122) 및 하부 층(118)에 비해 높은 에칭 선택도를 갖는다. 그 결과, 상부 층(122)은 중간 층(120)의 패터닝을 위한 에칭 마스크로서 사용되고, 중간 층(120)은 하부 층(118)의 패터닝을 위한 에칭 마스크로서 사용된다.
상부 층(122)은 내부에 개구부(124)를 형성하기 위해 임의의 적합한 포토리소그래피 기술을 사용하여 패터닝된다. 개구부(124)는 평면도에서 스트립 형상을 가질 수도 있다. 제1 영역(100A)의 개구부(124)의 피치(P1)는 개구부(124)의 폭(W1)의 약 3배일 수도 있다. 일 실시형태에서, 개구부(124)의 피치(P1)는 약 108 nm이다. 설명 전반에 걸쳐서, 개구부(124)의 패턴은 또한 “라인-A” 패턴으로 지칭될 수도 있다.
상부 층(122)을 패터닝하는 예로서, 포토마스크(도시되지 않음)가 상부 층(122) 위에 배치될 수도 있으며, 상기 상부 층(122)은 그 후, 크립톤 불화물(Krypton Fluoride; KrF) 엑시머 레이저로부터의 248 nm 빔, 아르곤 불화물(Argon Fluoride; ArF) 엑시머 레이저로부터의 193 nm 빔, 또는 F2 엑시머 레이저로부터의 157 nm 빔과 같은 자외선(UV) 또는 엑시머 레이저를 포함하는 방사 빔에 노출될 수도 있다. 상부 포토레지스트 층의 노광은 해상도를 증가시키고 달성가능한 최소 피치를 감소시키기 위해 침지 리소그래피 시스템을 사용하여 수행될 수도 있다. 베이크 또는 경화 작업은 상부 층(122)을 경화시키기 위해 수행될 수도 있으며, 현상액은 포지티브 또는 네가티브 레지스트가 사용되는지 여부에 따라 상부 층(122)의 노광된 부분 또는 노광되지 않은 부분을 제거하는데 사용될 수도 있다.
상부 층(122)을 패터닝한 후, 에칭 프로세스에서 상부 층(122)의 패턴이 중간층(120)으로 전사된다. 에칭 프로세스는 이방성이므로, 상부 층(122)의 개구부(124)는 중간 층(120)을 통해 연장되고, 상부 층(122)에서와 같이 중간 층(120)에서 대략 동일한 크기를 갖는다.
도 2에서, 중간 층(120)의 개구부(124)의 크기를 증가시키기 위해 트리밍 프로세스가 수행된다. 실시형태에서, 트리밍 프로세스는 O2, CO2, N2/H2, H2 등, 이들의 조합, 또는 중간 층(120)을 트리밍하기에 적합한 임의의 다른 가스를 포함하는 프로세스 가스를 이용한 이방성 플라즈마 에칭 프로세스이다. 트리밍은 개구부(124)의 폭(W1)을 증가시키고, 개구부(124) 사이에 중간 층(120)의 일부분의 폭(W2)을 감소시킬 수도 있다. 트리밍 후에는, 제1 영역(100A)의 폭(W1) 대 폭(W2)의 비는 약 5 : 3일 수도 있다. 실시형태에서, 트리밍 후에, 제1 영역(100A)의 개구부(124)의 폭(W1)은 약 67.5 nm이고, 제1 영역(100A)의 중간층(120)의 폭(W2)은 약 40.5 nm이다. 트리밍 프로세스 후에, 제1 맨드렐 에칭 프로세스가 수행되어 중간 층(120)의 패턴을 하부 층(118)에 전사하여, 개구부(124)를 하부 층(118)을 통해 연장시킨다.
도 3에서, 제1 맨드렐 에칭 프로세스는 하부 층(118)의 패턴을 제2 맨드렐 층(116)으로 전사하도록 지속된다. 제1 맨드렐 에칭 프로세스 동안에, 상부 층(122), 중간 층(120), 및 하부 층(118)이 소모될 수도 있다. 일부 실시형태에서, 애싱 프로세스는 하부 층(118)의 나머지 잔류물을 제거하기 위해 수행될 수도 있다. 제2 맨드렐 층(116)의 나머지 부분은 본 명세서에서 제2 중간 맨드렐(116)으로 지칭된다. 제1 맨드렐 에칭 프로세스는 개구부(124)의 폭이 폭(W1)과 거의 동일하고, 개구부(124) 사이의 제2 중간 맨드렐(116)의 폭이 폭(W2)과 거의 동일하도록, 이방성 에칭이다.
도 4에서, 제1 스페이서 층(126)은 웨이퍼(100) 위에 컨포멀하게 형성된다. 형성 후에, 제1 스페이서 층(126)은 제2 중간 맨드렐(116)의 상부 표면 및 측벽, 그리고 개구부(124) 내의 제2 유전체 하드 마스크 층(114)의 상부 표면을 따라 연장된다. 제1 스페이서 층(126)의 재료는 제2 유전체 하드 마스크 층(114)에 대해 높은 에칭 선택도를 가지도록 선택된다. 제1 스페이서 층(126)은 AlO, AlN, AlON, TaN, TiN, TiO, Si, SiO, SiN, 금속, 금속 합금 등으로 형성될 수도 있으며, ALD, CVD 등과 같은 임의의 적합한 프로세스를 사용하여 퇴적될 수도 있다.
도 4에 도시된 바와 같이, 제1 스페이서 층(126)은 컨포멀 층으로서 형성되고, 상기 제1 스페이서 층의 수평 부분의 두께(T1)와 그 수직 부분의 두께(T2)는 서로 근사하며, 예를 들어, 두께(T1 및 T2)가 서로의 약 20퍼센트 내에 있다. 제1 스페이서 층(126)은 개구부(124) 내의 제1 스페이서 층(126)의 측부 사이의 폭이 제2 중간 맨드렐(116)의 폭(W2)과 거의 동일하게끔 두께(T2)를 가지도록 형성된다. 즉, 제1 스페이서 층(126)의 수직 부분들 각각 사이의 거리는 거의 동일하다. 일 실시형태에서, 제1 스페이서 층(126)은 약 13.5 nm의 두께(T1 및 T2)를 가지도록 형성되고, 따라서 각각의 수직 부분 사이의 폭(W2)은 약 40.5 nm이다.
도 5에서, 제1 스페이서 층(126)의 수평 부분을 제거하기 위해 에칭 프로세스가 수행된다. 제1 스페이서 층(126)의 수직 부분은 에칭 후에 남겨지고, 이후에 제1 스페이서(128)로 지칭된다. 에칭 프로세스는 이방성이며, 제1 스페이서(128)의 두께가 상당한 양만큼 변하지 않고 두께(T2)와 거의 동일하다.
도 6에서, 3층 포토레지스트는 도 5에 도시된 디바이스 상에 형성된다. 3층 포토레지스트는 하부 층(130), 하부 층(130) 위의 중간 층(132), 및 중간 층(132) 위의 상부 층(134)을 포함한다. 하부 층(130), 중간 층(132), 및 상부 층(134)의 재료는 각각 도 1에 도시된 하부 층(118), 중간 층(120) 및 상부 층(122)의 동일한 후보 재료로부터 선택될 수도 있다.
상부 층(134)은 적합한 포토리소그래피 기술을 사용하여 패터닝되어 내부에 개구부(136)를 형성한다. 개구부(136)는 제2 중간 맨드렐(116) 위에 형성된다. 개구부(136)의 패턴에 의해 노출된 제2 중간 맨드렐(116)의 부분은 후속 에칭 프로세스(후술함)에서 제거된다. 일 실시형태에서, 개구부(136)는 제2 중간 맨드렐(116) 모두에 걸쳐 형성되지 않고, 예를 들어 개구부(136)는 제2 중간 맨드렐(116)의 서브세트 위에 형성된다. 개구부(136)는 제1 영역(100A)의 상부 층(134)의 나머지 부분이 폭(W2)보다 크고 폭(W1)보다 작은 폭(W3)을 가지도록 형성될 수도 있다. 특히, 폭(W3)은 상부 층(134)의 나머지 부분이 개구부(124) 및 제1 스페이서(128) 각각의 적어도 절반을 초과하도록 두께(W2)와 두께(T2)의 합보다 클 수도 있다. 폭(W1)이 약 67.5nm이고, 폭(W2)가 약 40.5nm이고, 두께(T2)가 약 13.5nm인 실시형태에서, 제1 영역(100A)의 폭(W3)은 약 60nm(예를 들어, 54 nm보다 크고 67.5 nm 미만)일 수도 있다.
도 7에서, 상부층(134)의 패턴을 중간층(132) 및 하부층(130)으로 전사하여, 하부층(130)을 통해 개구부(136)를 연장시켜 제2 중간 맨드렐(116) 및 제1 스페이서(128)를 노출시키는 제2 맨드렐 에칭 프로세스가 수행된다. 제2 맨드렐 에칭 프로세스는 이방성 에칭일 수도 있다.
도 8에서, 제2 맨드렐 에칭 프로세스는 하부 층(130)을 통해 연장되는 개구부(136)에 의해 노출된 제2 중간 맨드렐(116)의 부분을 제거하도록 지속된다. 제2 맨드렐 에칭 프로세스 동안에, 상부 층(134), 중간 층(132), 및 하부 층(130)이 소모될 수도 있다. 일부 실시형태에서, 애싱 프로세스는 하부 층(130)의 나머지 잔류물을 제거하기 위해 수행될 수도 있다. 설명 전반에 걸쳐서, 개구부(136)의 패턴은 또한 "라인-B" 패턴으로 지칭될 수도 있다. 일 실시형태에서, 제2 에칭 후에, 제1 스페이서(128) 각각이 제1 스페이서(128) 사이에 맨드렐이 없이 제1 영역(100A) 내에 남겨지도록 제1 영역(100A)의 제2 중간 맨드렐(116) 모두를 노출시킨다. 또한, 일부 실시형태에서, 하부 층(130)의 패턴은 제2 영역(100B)의 제2 중간 맨드렐(116)의 일부를 단지 부분적으로 노출시킬 수도 있다.
도 9에서, 제1 스페이서(128) 및 제2 중간 맨드렐(116)의 나머지 부분은, 제3 맨드렐 에칭 프로세스에서 제2 유전체 하드 마스크 층(114) 및 제1 맨드렐 층(112)을 에칭하는 에칭 마스크로서 조합하여 사용된다. 개구부(124) 및 개구부(136)는 제1 맨드렐 층(112) 및 제2 유전체 하드 마스크 층(114) 내로 연장되어 그 일부분을 제거한다. 제1 맨드렐 층(112)의 나머지 부분은 본 명세서에서 제1 중간 맨드렐(112)로 지칭되고, 제2 유전체 하드 마스크 층(114)의 나머지 부분은 본 명세서에서 제2 유전체 캡(114)으로 지칭된다. 제3 맨드렐 에칭 프로세스에서, 제1 스페이서(128) 및 제2 중간 맨드렐(116)의 나머지 부분은 완전히 소모될 수도 있거나 완전히 소모되지 않을 수도 있다. 제3 맨드렐 에칭 프로세스는 이방성일 수도 있다. 에칭 후에, 제1 영역(100A)의 제1 맨드렐 층(112)의 피치(P2)는 피치(P1)의 약 절반과 동일할 수도 있다(예를 들어, 도 1 참조).
도 10에서, 제2 스페이서 층(138)은 웨이퍼(100) 위에 컨포멀하게 형성된다. 형성 후에, 제2 스페이서 층(138)은 제2 유전체 캡(114)의 상부 표면 및 측벽, 제1 중간 맨드렐(112)의 측벽, 및 개구부(124 및 136) 내의 제1 유전체 하드 마스크 층(110)의 상부 표면을 따라 연장된다. 제2 스페이서 층(138)의 재료는 제1 유전체 하드 마스크 층(110)에 대하여 높은 에칭 선택도를 가지도록 선택된다. 제2 스페이서 층(138)은 제1 스페이서 층(126)의 동일한 후보 재료로부터 선택된 재료로 형성될 수도 있고, 제1 스페이서 층(126)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택되는 방법을 사용하여 형성될 수도 있다. 제1 스페이서 층(126) 및 제2 스페이서 층(138)은 동일한 재료로 형성될 수도 있거나, 상이한 재료를 포함할 수도 있다.
도 11에서, 제2 스페이서 층(138)의 수평 부분을 제거하기 위해 에칭 프로세스가 수행된다. 에칭 후에 남아있는 제2 스페이서 층(138)의 수직 부분은 이하에서 제2 스페이서(140)로 지칭된다. 에칭 프로세스는 또한 제2 스페이서 층(138)의 수직 부분의 일부를 제거할 수도 있다. 예를 들어, 제2 유전체 캡(114)의 측벽을 따라 연장되는 제2 스페이서 층(138)의 수직 부분이 리세싱될 수도 있다. 에칭 프로세스는 이방성이므로, 제1 스페이서(128)의 두께는 상당한 양만큼 변하지 않는다.
도 12에서, 도 11에 도시된 디바이스 상에 3 층의 포토레지스트가 형성된다. 3층 포토레지스트는 하부 층(142), 하부 층(142) 위의 중간 층(144), 및 중간 층(144) 위의 상부 층(146)을 포함한다. 하부 층(142), 중간 층(144) 및 상부 층(146)의 재료는, 도 1에 도시된 하부 층(118), 중간 층(120), 및 상부 층(122)의 동일한 후보 재료로부터 각각 선택될 수도 있다. 하부 피처 밀도의 변화 때문에, 하부 층(142)과 같은 3층 포토레지스트의 일부는 제1 영역(100A) 보다 큰 두께로 제2 영역(100B)에 걸쳐 형성될 수도 있다. 특히, 제1 영역(100A)의 피처가 보다 조밀하게 형성되기 때문에, 제1 영역(100A)의 두께는 제2 영역(100B) 보다 작을 수도 있다.
상부 층(146)은 적합한 포토리소그래피 기술을 사용하여 패터닝되어 내부에 개구부(148)를 형성한다. 개구부(148)는 제2 스페이서(140), 제1 중간 맨드렐(112), 및 제2 유전체 캡(114) 위에 형성된다. 일 실시형태에서, 개구부(148)는 제2 스페이서(140) 모두에 걸쳐 형성되지 않고, 예를 들어 개구부(148)는 제2 스페이서(140) 및 제1 중간 맨드렐(112)의 서브세트 위에 형성된다.
도 13에서, 상부 층(146)의 패턴을 중간 층(144) 및 하부 층(142)으로 전사하여, 개구부(148)를 하부층(142)을 통해 연장시켜 제1 중간 맨드렐(112) 및 제2 스페이스(140)를 노출시키는 제4 맨드렐 에칭 프로세스가 수행된다. 제4 맨드렐 에칭 프로세스는 이방성 에칭일 수도 있다.
도 14a 내지 도 22b는 일부 예시적인 실시형태에 따른, 타겟 층에서의 피처의 형성에 있어서의 추가의 중간 단계의 사시도 및 단면도를 도시한다. 도 14a 내지 도 22b에서, "a" 명칭으로 끝나는 도면은 웨이퍼(100)의 사시도이고, "b" 명칭으로로 끝나는 도면은 대응하는 사시도에서 B-B 라인을 따라 도시된 단면도이다.
도 14a 및 도 14b에서, 제4 맨드렐 에칭 공정은 하부 층(142)을 통해 연장되는 개구부(148)에 의해 노출된 제1 중간 맨드렐(112) 및 제2 유전체 캡(114)의 일부를 제거하기 위해 지속된다. 제4 맨드렐 에칭 프로세스 동안에, 상부 층(146), 중간 층(144), 및 하부 층(142)이 소모될 수도 있다. 일부 실시형태에서, 하부 층(142)의 나머지 잔류물을 제거하기 위해 애싱 프로세스가 수행될 수도 있다. 설명 전반에 걸쳐서, 개구부(148)의 패턴은 또한 "라인-C" 패턴으로 지칭될 수도 있다. 라인-C 패턴은 라인-A 패턴과 라인-B 패턴 사이에 형성된다(도 14b에 표시됨). 일 실시형태에서, 하부 층(142)의 패턴은, 제2 에칭 후에 제2 스페이서(140) 각각이 제2 스페이서(140) 사이에 맨드렐이 없이 제1 영역(100A)에 남아 있도록, 제1 영역(100A)의 제1 중간 맨드렐(112) 모두를 노출시킨다. 또한, 일부 실시형태에서, 하부 층(142)의 패턴은 제1 중간 맨드렐(112)의 일부를 단지 부분적으로 노출시킬 수도 있다. 에칭 후에, 제1 영역(100A)에서의 제2 스페이서(140)의 피치(P3)는 피치(P1)의 약 1/4과 동일할 수도 있다(예를 들어, 도 1 참조).
도 15a 및 도 15b에서, 도 14a 및 도 14b에 도시된 디바이스 상에 포토레지스트 구조물이 형성된다. 포토레지스트 구조물은 하부 층(150) 및 중간 층(152)을 포함한다. 하부 층(150)은 하부 층(118)의 동일한 후보 재료로부터 선택된 재료로 형성될 수도 있고, 하부 층(118)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택되는 방법을 사용하여 형성될 수도 있다.
중간층(152)은 제1 층(152A) 및 제2 층(152B)을 포함한다. 상기 제1 층(152A)은 에칭 정지 층이고, 상기 중간 층(120)의 동일한 후보 재료로부터 선택된 재료로 형성될 수도 있으며, 상기 중간 층(120)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택된 방법을 사용하여 형성될 수도 있다.
제2 층(152B)은 제1 층(152A)에 비하여 높은 에칭 선택도를 갖는 유전체 층이다. 제2 층(152B)은 예를 들어 (실리콘 산화물과 같은) 산화물일 수도 있으며, 임의의 적합한 퇴적 프로세스를 사용하여 형성될 수도 있다. 일 실시형태에서, 제2 층(152B)은 저온 CVD 프로세스로 형성된 산화물이다. 저온 CVD 프로세스는 약 400 ℃ 미만의 온도에서 수행될 수도 있다. 일 실시형태에서, 제2 층(152B) 및 제1 층(152A)은 동일한 에칭 프로세스에 대하여 3.0보다 큰 에칭 선택도를 가질 수도 있다. 제2 층(152B)은 약 100 Å 내지 약 300 Å의 두께를 가지도록 형성될 수도 있다.
또한, 도 15a 및 도 15b에서, 포토레지스트 구조물 상에 하부 층(154), 중간 층(156),및 상부 층(158)을 포함하는 3층의 포토레지스트가 형성된다. 상기 하부층(154), 중간 층(156), 및 상부 층(158)은 각각 상기 하부 층(118), 중간 층(120) 및 상부 층(122)의 동일한 후보 재료로부터 선택되는 재료로 형성될 수도 있으며, 하부 층(118), 중간 층(120), 및 상부 층(122)을 형성하기 위한 동일한 그룹의 후보 방법들로부터 선택된 방법을 사용하여 형성될 수도 있다.
상부 층(158)은 적합한 포토리소그래피 기술을 사용하여 패터닝되어 내부에 제1 마스크 개구부(160)를 형성한다. 제1 마스크 개구부(160)의 패턴은 후속 프로세싱 단계에서 라인-A, 라인-B, 및 라인-C 패턴을 따라 형성될 절단부에 대응한다. 제1 마스크 개구부(160)는 제1 유전체 하드 마스크 층(110) 위 및 제2 스페이서(140)의 각각의 쌍들 사이에 상이한 라인들에 걸쳐 형성될 수도 있고, 제2 스페이서(140)들 사이의 거리보다 약간 더 큰폭(W4)을 가지도록 형성될 수도 있다. 각각의 개구부(160)는 대략 동일한 크기(폭 W4)를 갖는다. 예를 들어, 제1 마스크 개구부(160)의 폭(W4)은 인접한 제2 스페이서(140)의 내부 측벽 사이의 거리보다 넓지만, 인접한 제2 스페이서(140)의 외부 측벽 사이의 거리보다 넓지는 않다. 바람직하게는, 제1 마스크 개구부(160)는 라인을 가로 지르지 않고, 이는 실수로(inadvertently) 다중 라인을 마스킹하고 라인 패턴을 병합함으로써 야기되는 결함을 감소시킬 수도 있다. 제1 마스크 개구부(160)는 상이한 라인의 종축을 따라 상이한 위치에 형성될 수도 있다.
도 16a 및 도 16b에서, 제1 하드 마스크 에칭 프로세스는, 상부 층(158)의 패턴을 중간 층(156), 하부 층(154), 및 이어서 제2 층(152B)으로 전사하기 위해 수행된다. 제1 마스크 개구부(160)는 제2 층(152B)으로 전사된다. 전술한 바와 같이, 제1 층(152A) 및 제2 층(152B)은 높은 에칭 선택도를 갖는다. 이와 같이, 제1 층(152A)은 에칭 정지 층으로서 기능하고, 제1 마스크 개구부(160)의 패턴은 제1 층(152A)으로 전사되지 않는다. 제1 하드 마스크 에칭 프로세스는 이방성 에칭일 수도 있다. 제1 하드 마스크 에칭 프로세스 동안에, 하부 층(154), 중간 층(156), 및 상부 층(158)이 소모될 수도 있다. 일부 실시형태에서, 애싱 프로세스는 하부 층(154)의 나머지 잔류물을 제거하기 위해 수행될 수도 있다.
도 17a 및 도 17b에서, 포토레지스트 구조물 상에 하부 층(162), 중간 층(164), 및 상부층(166)을 포함하는 3층의 포토레지스트가 형성된다. 상기 하부 층(162), 중간 층(164), 및 상부 층(166)은 각각 하부 층(118), 중간 층(120), 및 상부 층(122)의 동일한 후보 재료로부터 선택된 재료로 형성될 수도 있으며, 하부 층(118), 중간 층(120), 및 상부 층(122)을 형성하기 위한 동일한 그룹의 후보 방법으로부터 선택되는 방법을 사용하여 형성될 수도 있다.
상부 층(166)은 적합한 포토 리소그래피 기술을 사용하여 패터닝되어 내부에 제2 마스크 개구부(168)를 형성한다. 제2 마스크 개구부(168)는 제1 마스크 개구부(160)의 폭(W4)과 유사한 폭(W4)을 갖는다. 제2 마스크 개구부(168)의 패턴은 후속 프로세싱 단계에서 라인-A, 라인-B, 및 라인-C 패턴을 따라 형성될 추가의 절단부에 대응한다. 제2 마스크 개구부(168)는 상이한 라인에 걸쳐서, 예컨대 제1 유전체 하드 마스크 층(110) 위 및 제2 스페이서(140)의 각각의 쌍들 사이에 형성될 수도 있고, 제2 스페이서(140)들 사이의 거리보다 큰 폭을 가지도록 형성될 수도 있다. 제2 마스크 개구부(168)는 또한 라인을 가로 지르지 않는다. 제2 마스크 개구부(168)는 상이한 라인의 종축을 따라 상이한 위치에 형성될 수도 있다.
도 18a 및 도 18b에서, 제2 하드 마스크 에칭 프로세스는 상부 층(166)의 패턴을 중간 층(164), 하부 층(162), 및 이어서 제2 층(152B)으로 전사하도록 수행된다. 제2 마스크 개구부(168)는 제2 층(152B)으로 전사된다. 전술한 바와 같이, 제1 층(152A) 및 제2 층(152B)은 높은 에칭 선택도를 갖는다. 이와 같이, 제1 층(152A)은 에칭 정지 층으로서 기능하고, 제2 마스크 개구부(168)의 패턴은 제1 층(152A)으로 전사되지 않는다. 제2 하드 마스크 에칭 프로세스는 이방성 에칭일 수도 있다. 제2 하드 마스크 에칭 프로세스 동안에, 하부 층(162), 중간 층(164), 및 상부 층(166)이 소모될 수도 있다. 일부 실시형태에서, 애싱 프로세스는 하부 층(162)의 나머지 잔류물을 제거하기 위해 수행될 수도 있다.
제2 하드 마스크 에칭 공정 후에, 제2 층(152B)은 제1 마스크 개구부(160) 및 제2 마스크 개구부(168)를 포함한다. 도 15a 내지 도 18b와 관련하여 전술한 프로세스 단계는 제2 층(152B) 상의 라인-A, 라인-B, 및 라인-C에 대한 절단 패턴을 형성하기 위해 원하는 횟수로 반복될 수도 있다. 라인에서의 절단부에 대응하는 모든 개구부는 제2 층(152B) 상에 형성될 수도 있다.
도 19a 및 도 19b에서, 제1 마스크 개구부(160), 제2 마스크 개구부(168), 및 임의의 다른 후속적으로 형성된 마스크 개구부를 하부 층(150)을 통해 연장시키기 위해 에칭 프로세스가 수행된다. 제2 층(152B) 상의 각각의 개구부는 제2 스페이서(140)들 사이의 개구부에 대응한다. 제2 스페이서(140)들 사이의 제1 유전체 하드 마스크 층(110)은 개구부에 의해 노출될 수도 있다.
도 20a 및 도 20b에서, 리버스 재료(170)는 웨이퍼(100) 위에 컨포멀하게 형성된다. 리버스 재료(170)은 제1 마스크 개구부(160), 제2 마스크 개구부(168), 및 임의의 다른 후속적으로 형성된 마스크 개구부를 충전하며, 또한 제2 층(152B)의 상부 표면을 따라 연장된다. 이와 같이, 형성 후에, 리버스 재료(170)는 제2 스페이서(140)들 사이에 배치되고 제2 스페이서(140) 바로 아래에 있는 층[예를 들어, 제1 유전체 하드 마스크 층(110)]과 접촉한다. 다양한 실시형태에서, 리버스 재료(170)는 SOG(spin-on glass) 또는 임의의 다른 적합한 재료일 수도 있다.
도 21a 및 도 21b에서, 중간 층(152) 및 리버스 재료(170)의 상부 부분이 제거될 수도 있다. 제거 프로세스는 CMP(chemical-mechanical polish), 연삭 공정 등과 같은 평탄화일 수도 있다. 대안적으로, 제거 프로세스는 건식 에칭, 등방성 에칭 등과 같은 에칭일 수도 있다.
도 22a 및 도 22b에서, 리버스 재료(170)은 예를 들어 에치-백 프로세스를 사용하여 리세싱될 수도 있다. 또한, 하부 층(150)은 애싱 프로세스와 같은 적합한 프로세스를 사용하여 제거된다. 제거 및 리세싱 후에, 리버스 재료(170)의 나머지 부분은 제2 스페이서(140)들 사이에 배치된다. 제2 스페이서(140)들 사이의 리버스 재료(170)의 나머지 부분은 절단 마스크(172)로 지칭될 수도 있다. 특히, 절단 마스크(172)는 복수의 라인에 걸쳐 있지 않다.
도 23a 내지 도 26b는 일부 예시적인 실시형태에 따른, 타겟 층에서의 피처의 형성에있어서의 추가의 중간 스테이지의 평면도 및 단면도를 도시한다. 도 22a 내지 도 26b에서, "a" 명칭으로 끝나는 도면은 웨이퍼(100)의 평면도이고, "b" 명칭으로 끝나는 도면은 대응하는 평면도에서 B-B 라인을 따라 도시된 단면도이다.
도 23a 및 도 23b에서, 제2 스페이서(140), 니머지 제1 중간 맨드렐(112), 나머지 제2 유전체 캡(114), 및 절단 마스크(172)는, 에칭 마스크로서 조합되어 사용되어 제1 유전체 하드 마스크 층(110)을 에칭하여 제1 유전체 하드 마스크 층(110)에 개구부를 형성한다. 적합한 포토리소그래피 및 에칭 기술이 사용될 수도 있다. 에칭 프로세스에서, 제2 스페이서(140), 제1 중간 맨드렐(112), 및 절단 마스크(172)는 완전히 소모될 수도 있거나 완전히 소모되지 않을 수도 있다. 제1 중간 맨드렐(112) 및 절단 마스크(172)가 소모되지 않을 때, 잔류 재료를 제거하기 위해 세정 프로세스가 수행될 수도 있다.
도 24a 및 도 24b에서, 패터닝된 제1 유전체 하드 마스크 층(110)은 하드 마스크 층(108)을 에칭하기 위한 에칭 마스크로서 사용된다. 이와 같이, 하드 마스크 층(108) 상에 원하는 라인(예를 들어, A, B 및 C) 및 라인을 위한 절단부(180) 모두에 대응하는 패턴이 동시에 형성된다. 라인은 제2 스페이서(140)에 대응한다. 라인을 위한 절단부(180)는 절단 마스크(172)의 배치에 대응한다. 모든 라인을 형성한 후에 모든 원하는 라인(예를 들어, A, B, 및 C)을 먼저 형성하고, 그 후에, 제2 층(152B) 상의 라인에 대한 절단부(180)를 패터닝함으로써, 라인 및 라인을 위한 절단부(180)의 형성은 단일 에칭 단계에서 수행될 수 있다. 제2 스페이서(140), 제1 중간 맨드렐(112), 및 절단 마스크(172)는 이러한 에칭 프로세스에서 소모될 수도 있다. 제1 중간 맨드렐(112) 및 절단 마스크(172)가 소모되지 않을 때, 잔류 재료를 제거하기 위해 세정 프로세스가 수행될 수도 있다.
도 25a 및 도 25b에서, 패터닝된 하드 마스크 층(108)은 하부의 ARC(106) 및 이어서 타겟 층(102)을 에칭하기 위한 에칭 마스크로서 사용된다. 전술한 바와 같이, 타겟 층(102)의 최종 패턴은 유전체 층, 반도체 기판 등에서의 패턴일 수도 있다. 일 실시형태에서, 타겟 층(102)은 로우-k 유전체이고, 패터닝된 타겟 층(102)은 상호 접속 구조물에 대한 패터닝된 IMD를 형성한다. 구리 라인, 구리 비아, 및/또는 코발트 플러그와 같은 도전성 피처는, 예를 들어, 대머신 또는 이중 대머신 프로세스를 사용하여 IMD 층에 형성될 수 있으며, 이에 의해 패터닝된 타겟 층(102) 내에 형성된 개구부가 구리와 같은 도전성 재료로 충전 및/또는 과충전되며, 패터닝된 타겟 층(102) 내에 도전성 재료를 매립하기 위하여 평탄화 프로세스가 수행된다.
도 26a 및 도 26b에서, ARC(106) 및 하드 마스크 층(108)으로부터의 과량의 재료가 제거된다. 과량의 재료는 타겟 층(102)의 에칭 동안에 소모될 수도 있다. ARC(106) 및 하드 마스크 층(108)이 소모되지 않을 때, 잔류 재료를 제거하기 위해 세정 프로세스가 수행될 수도 있다. 일부 실시형태에서, ARC(106) 및 하드 마스크 층(108)이 남아있는 동안에 도전성 피처가 타겟 층(102)의 라인에 형성될 수도 있고, ARC(106) 및 하드 마스크 층(108)은 패터닝된 타겟 층(102) 내에 도전성 재료를 매립하는데 사용되는 평탄화 프로세스에 의해 제거될 수도 있다.
실시형태는 장점을 얻을 수도 있다. 모든 라인 패턴이 형성된 후에 라인을 절단하는 것은 라인을 패터닝하는 중간 단계 동안에 라인을 절단하는 것과 관련된 복잡성을 감소시킬 수도 있다. 또한, 단일 단계에서 라인을 절단하는 것은 BEOL 프로세싱을 단순화할 수도 있으며, 결함 절단을 수행할 가능성을 감소시킬 수도 있다.
일 실시형태에서, 방법은, 기판 상에 제1 마스크 층을 형성하는 단계; 기판 상에 제1 마스크 층을 형성하는 단계; 상기 제1 마스크 층 위에 복수의 제1 스페이서를 패터닝하는 단계; 상기 제1 스페이서 위에 반사 방지층을 형성하는 단계; 상기 반사 방지층 위에 에칭 정지 층을 형성하는 단계; 상기 에칭 정지 층 위에 제2 마스크 층을 형성하는 단계; 상기 제2 마스크 층에 복수의 개구부를 패터닝하는 단계 - 상기 개구부의 각각은 상기 제1 스페이서의 각각의 쌍들 위에 놓임 -; 상기 개구부를 상기 반사 방지층을 통해 그리고 상기 제1 스페이서의 각각의 쌍들 사이에서 연장시키는 단계; 상기 제2 마스크 층 위에 그리고 상기 개구부에 리버스(reverse) 재료를 형성하는 단계; 상기 반사 방지층, 상기 에칭 정지 층, 및 상기 제2 마스크 층을 제거하는 단계; 및 상기 제1 스페이서 및 상기 리버스 재료의 남겨진 부분을 제1 에칭 마스크로서 사용하여 상기 제1 마스크 층을 패터닝하는 단계를 포함한다.
일 실시형태에서, 상기 제1 스페이서를 패터닝하는 단계는, 상기 제1 마스크 층 위에 복수의 제1 맨드렐(mandrel)을 패터닝하는 단계; 상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계; 상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제2 스페이서를 형성하는 단계; 상기 제1 맨드렐의 일부분을 제거하는 단계; 상기 제2 스페이서를 제2 에칭 마스크로서 사용하여 복수의 제2 맨드렐을 패터닝하는 단계; 상기 제2 맨드렐의 측벽 및 상부에 제2 스페이서 층을 형성하는 단계; 상기 제2 스페이서 층의 수평 부분을 제거하고, 상기 제2 스페이서 층의 수직 부분을 남기면서 제1 스페이서를 형성하는 단계를 포함한다. 일 실시형태에서, 상기 제1 스페이서들 간의 피치는, 상기 기판의 제1 영역에서의 상기 제2 스페이서들 간의 피치의 2배이다. 일 실시형태에서, 상기 제1 맨드렐의 일부분은 상기 제2 맨드렐을 패터닝하는 단계 이전에 제거되며, 상기 제2 맨드렐은 상기 기판의 제1 영역에서 패터닝된다. 일 실시형태에서, 상기 제2 맨드릴을 패터닝하는 단계는, 상기 제2 스페이서 및 나머지 제1 맨드렐의 조합을 상기 제2 에칭 마스크로서 사용하는 단계를 더 포함하며, 상기 나머지 제1 맨드렐은 상기 제1 영역과 상이한 상기 기판의 제2 영역에 있다. 일 실시형태에서, 상기 에칭 정지 층 및 상기 제2 마스크 층은 3보다 큰 에칭 선택 비를 가진다. 일 실시형태에서, 상기 제2 마스크 층에서 개구부를 패터닝하는 단계는, 상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제1의 3층 포토레지스트로 상기 제2 마스크 층에서 제1 서브세트의 개구부를 에칭하는 단계; 및 상기 제2 마스크 층에서 상기 제1 서브세트의 개구부를 에칭하는 단계 후에, 상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제2의 3층 포토레지스트로 상기 제2 마스크 층에서 제2 서브세트의 개구부를 에칭하는 단계를 포함한다. 일 실시형태에서, 상기 에칭 정지 층은 산화물이고, 상기 제2 마스크 층은 금속을 포함한다. 일 실시형태에서, 상기 제1 마스크 층은 금속을 포함하고, 상기 제2 마스크 층은 유전체이다. 일 실시형태에서, 상기 제1 마스크 층은 상기 기판 상의 타겟 층 위에 형성되고, 상기 방법은 상기 제1 마스크 층을 제3 에칭 마스크로서 사용하여 상기 타겟 층을 에칭하는 단계를 더 포함한다. 일 실시형태에서, 상기 제1 스페이서들의 각각의 쌍들 사이가 아닌 상기 리버스 재료의 일부분을 제거하는 단계를 더 포함한다. 일 실시형태에서, 상기 개구부에 형성된 상기 리버스 재료는 상기 개구부들 중 하나의 개구부 보다 많게 교차시키지 않는다.
일 실시형태에서, 방법은 제1 마스크 층 위의 복수의 제1 맨드렐을 패터닝하는 단계; 상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계; 상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제1 스페이서를 형성하는 단계; 상기 제1 스페이서 층의 수평 부분을 제거한 후, 상기 제1 스페이서들 사이에 리버스 재료를 퇴적(deposit)하는 단계; 및 상기 제1 스페이서 및 상기 리버스 재료의 조합을 제1 에칭 마스크로서 사용하여 상기 제1 마스크 층을 패터닝하는 단계를 포함한다.
일 실시형태에서, 상기 제1 스페이서 층의 수평 부분을 제거하기 전에 상기 제1 마스크 층 위에 리버스 재료가 형성되지 않는다. 일 실시형태에서, 상기 제1 마스크 층은 타겟 층 위에 형성되고, 상기 방법은, 상기 패터닝된 제1 마스크 층을 제2 에칭 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계를 더 포함한다. 일 실시형태에서, 상기 타겟 층은 유전체 층이고, 상기 방법은 상기 유전체 층에 도전성 피처를 형성하는 단계를 더 포함한다. 일 실시형태에서, 상기 제1 맨드렐은 유전체 층 위에 형성되고, 상기 유전체 층은 상기 제1 마스크 층 위에 형성되고, 상기 리버스 재료는 상기 제1 스페이서들 사이에 리버스 재료를 퇴적하는 단계 후에 상기 유전체 층과 접촉한다. 일 실시형태에서, 상기 제1 스페이서들 사이에 리버스 재료를 퇴적하는 단계는, 상기 제1 스페이서 위에 반사 방지층을 형성하는 단계; 상기 반사 방지층 위에 에칭 정지 층을 형성하는 단계; 상기 에칭 정지 층 위에 제2 마스크 층을 형성하는 단계; 상기 제2 마스크 층에 복수의 개구부를 패터닝하는 단계 - 상기 개구부의 각각은 상기 제1 스페이서의 각각의 쌍을 덮음 -; 상기 개구부를 상기 반사 방지층을 통해 그리고 상기 제1 스페이서의 각 쌍들 사이에서 연장시키는 단계; 및 연장된 개구부에 상기 리버스 재료를 퇴적하는 단계를 포함한다.
일 실시형태에서, 방법은, 타겟 층 위에 복수의 스페이서를 형성하는 단계; 상기 스페이서 위에 반사 방지층을 형성하는 단계; 상기 반사 방지층 위에 제1 마스크 층을 형성하는 단계; 상기 제1 마스크 층 위에 제1의 3층 포토레지스트를 형성하는 단계; 상기 제1의 3층 포토레지스트를 사용하여 제1 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계; 상기 제1의 3층 포토레지스트를 제거하는 단계; 상기 제1 마스크 층 위에 제2의 3층 포토레지스트를 형성하는 단계; 상기 제2의 3층 포토레지스트를 사용하여 제2 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계; 상기 제2의 3층 포토레지스트를 제거하는 단계; 및 상기 제1 및 제2 개구부에 리버스 재료를 퇴적하는 단계 - 상기 리버스 재료는 상기 스페이서들 사이에 배치됨 - 를 포함한다.
일 실시형태에서, 상기 방법은 상기 스페이서 및 상기 리버스 재료의 조합을 에칭 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계를 더 포함한다.
<부기>
1. 방법에 있어서,
기판 상에 제1 마스크 층을 형성하는 단계;
상기 제1 마스크 층 위에 복수의 제1 스페이서를 패터닝하는 단계;
상기 제1 스페이서 위에 반사 방지층을 형성하는 단계;
상기 반사 방지층 위에 에칭 정지 층을 형성하는 단계;
상기 에칭 정지 층 위에 제2 마스크 층을 형성하는 단계;
상기 제2 마스크 층에 복수의 개구부를 패터닝하는 단계 - 상기 개구부의 각각은 상기 제1 스페이서의 각각의 쌍들 위에 놓임 -;
상기 개구부를 상기 반사 방지층을 통해 그리고 상기 제1 스페이서의 각각의 쌍들 사이에서 연장시키는 단계;
상기 제2 마스크 층 위에 그리고 상기 개구부에 리버스(reverse) 재료를 형성하는 단계;
상기 반사 방지층, 상기 에칭 정지 층, 및 상기 제2 마스크 층을 제거하는 단계; 및
상기 제1 스페이서 및 상기 리버스 재료의 남겨진 부분을 제1 에칭 마스크로서 사용하여 상기 제1 마스크 층을 패터닝하는 단계
를 포함하는 방법.
2. 제1항에 있어서, 상기 제1 스페이서를 패터닝하는 단계는,
상기 제1 마스크 층 위에 복수의 제1 맨드렐(mandrel)을 패터닝하는 단계;
상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계;
상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제2 스페이서를 형성하는 단계;
상기 제1 맨드렐의 일부분을 제거하는 단계;
상기 제2 스페이서를 제2 에칭 마스크로서 사용하여 복수의 제2 맨드렐을 패터닝하는 단계;
상기 제2 맨드렐의 측벽 및 상부에 제2 스페이서 층을 형성하는 단계;
상기 제2 스페이서 층의 수평 부분을 제거하고, 상기 제2 스페이서 층의 수직 부분을 남기면서 제1 스페이서를 형성하는 단계
를 포함하는 것인 방법.
3. 제2항에 있어서, 상기 제1 스페이서들 간의 피치는, 상기 기판의 제1 영역에서의 상기 제2 스페이서들 간의 피치의 2배인 것인 방법.
4. 제2항에 있어서, 상기 제1 맨드렐의 일부분은 상기 제2 맨드렐을 패터닝하는 단계 이전에 제거되며, 상기 제2 맨드렐은 상기 기판의 제1 영역에서 패터닝되는 것인 방법.
5.제4항에 있어서, 상기 제2 맨드릴을 패터닝하는 단계는, 상기 제2 스페이서 및 나머지 제1 맨드렐을 조합하여 상기 제2 에칭 마스크로서 사용하는 단계를 더 포함하며,
상기 나머지 제1 맨드렐은 상기 제1 영역과 상이한 상기 기판의 제2 영역에 있는 것인 방법.
6. 제1항에 있어서, 상기 에칭 정지 층 및 상기 제2 마스크 층은 3보다 큰 에칭 선택 비를 가지는 것인 방법.
7. 제1항에 있어서, 상기 제2 마스크 층에서 개구부를 패터닝하는 단계는,
상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제1의 3층 포토레지스트로 상기 제2 마스크 층에서 제1 서브세트의 개구부를 에칭하는 단계; 및
상기 제2 마스크 층에서 상기 제1 서브세트의 개구부를 에칭하는 단계 후에, 상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제2의 3층 포토레지스트로 상기 제2 마스크 층에서 제2 서브세트의 개구부를 에칭하는 단계를 포함하는 방법.
8. 제1항에 있어서, 상기 에칭 정지 층은 산화물이고, 상기 제2 마스크 층은 금속을 포함하는 것인 방법.
9. 제1항에 있어서, 상기 제1 마스크 층은 금속을 포함하고, 상기 제2 마스크 층은 유전체인 것인 방법.
10. 제1항에 있어서, 상기 제1 마스크 층은 상기 기판 상의 타겟 층 위에 형성되고, 상기 방법은 상기 제1 마스크 층을 제3 에칭 마스크로서 사용하여 상기 타겟 층을 에칭하는 단계를 더 포함하는 방법.
11. 제1항에 있어서, 상기 제1 스페이서들의 각각의 쌍들 사이가 아닌 상기 리버스 재료의 일부분을 제거하는 단계를 더 포함하는 방법.
12. 제1항에 있어서, 상기 개구부에 형성된 상기 리버스 재료는 상기 개구부들 중 하나의 개구부 보다 많게 교차시키지 않는 것인 방법.
13. 방법에 있어서,
제1 마스크 층 위의 복수의 제1 맨드렐을 패터닝하는 단계;
상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계;
상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제1 스페이서를 형성하는 단계;
상기 제1 스페이서 층의 수평 부분을 제거한 후, 상기 제1 스페이서들 사이에 리버스 재료를 퇴적(deposit)하는 단계; 및
상기 제1 스페이서 및 상기 리버스 재료의 조합을 제1 에칭 마스크로서 사용하여 상기 제1 마스크 층을 패터닝하는 단계
를 포함하는 방법.
14. 제13항에 있어서, 상기 제1 스페이서 층의 수평 부분을 제거하기 전에 상기 제1 마스크 층 위에 리버스 재료가 형성되지 않는 것인 방법.
15. 제13항에 있어서, 상기 제1 마스크 층은 타겟 층 위에 형성되고,
상기 방법은,
상기 패터닝된 제1 마스크 층을 제2 에칭 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계를 더 포함하는 방법.
16. 제15항에 있어서, 상기 타겟 층은 유전체 층이고, 상기 방법은 상기 유전체 층에 도전성 피처를 형성하는 단계를 더 포함하는 방법.
17. 제15항에 있어서, 상기 제1 맨드렐은 유전체 층 위에 형성되고, 상기 유전체 층은 상기 제1 마스크 층 위에 형성되고, 상기 리버스 재료는 상기 제1 스페이서들 사이에 리버스 재료를 퇴적하는 단계 후에 상기 유전체 층과 접촉하는 방법.
18. 제13항에 있어서, 상기 제1 스페이서들 사이에 리버스 재료를 퇴적하는 단계는,
상기 제1 스페이서 위에 반사 방지층을 형성하는 단계;
상기 반사 방지층 위에 에칭 정지 층을 형성하는 단계;
상기 에칭 정지 층 위에 제2 마스크 층을 형성하는 단계;
상기 제2 마스크 층에 복수의 개구부를 패터닝하는 단계 - 상기 개구부의 각각은 상기 제1 스페이서의 각각의 쌍을 덮음 -
상기 개구부를 상기 반사 방지층을 통해 그리고 상기 제1 스페이서의 각 쌍들 사이에서 연장시키는 단계; 및
연장된 개구부에 상기 리버스 재료를 퇴적하는 단계
를 포함하는 방법.
19. 방법에 있어서,
타겟 층 위에 복수의 스페이서를 형성하는 단계;
상기 스페이서 위에 반사 방지층을 형성하는 단계;
상기 반사 방지층 위에 제1 마스크 층을 형성하는 단계;
상기 제1 마스크 층 위에 제1의 3층 포토레지스트를 형성하는 단계;
상기 제1의 3층 포토레지스트를 사용하여 제1 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계;
상기 제1의 3층 포토레지스트를 제거하는 단계;
상기 제1 마스크 층 위에 제2의 3층 포토레지스트를 형성하는 단계;
상기 제2의 3층 포토레지스트를 사용하여 제2 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계;
상기 제2의 3층 포토레지스트를 제거하는 단계; 및
상기 제1 및 제2 개구부에 리버스 재료를 퇴적하는 단계 - 상기 리버스 재료는 상기 스페이서들 사이에 배치됨 -
를 포함하는 방법.
20. 제19항에 있어서,
상기 스페이서 및 상기 리버스 재료의 조합을 에칭 마스크로서 사용하여 상기 타겟 층을 패터닝하는 단계를 더 포함하는 방법.
앞에서는 당업자들이 본 개시의 양태를 더 잘 이해할 수 있도록 몇몇 실시형태 또는 예의 피처를 개략 설명하였다. 당업자들은 이들이 본 명세서에 소개된 실시형태 또는 예의 동일한 목적을 수행하고 및/또는 동일한 이점을 성취하기 위해 다른 프로세스 및 구조물을 설계하거나 수정하기 위한 기초로서 본 개시내용을 용이하게 사용할 수도 있다는 것을 알 수 있다. 당업자들은 또한 이러한 등가의 구성들이 본 개시의 사상 및 범주로부터 벗어나지 않는다는 것과, 이들이 본 개시의 사상 및 범주로부터 벗어나지 않고 본 명세서의 다양한 변경, 치환, 및 교체를 행할 수도 있다는 것을 인식해야 한다.

Claims (10)

  1. 방법에 있어서,
    기판 상에 제1 마스크 층을 형성하는 단계;
    상기 제1 마스크 층 위에 복수의 제1 스페이서를 패터닝하는 단계;
    상기 제1 스페이서 위에 반사 방지층을 형성하는 단계;
    상기 반사 방지층 위에 에칭 정지 층을 형성하는 단계;
    상기 에칭 정지 층 위에 제2 마스크 층을 형성하는 단계;
    상기 제2 마스크 층에 복수의 개구부를 패터닝하는 단계 - 상기 개구부의 각각은 상기 제1 스페이서의 각각의 쌍들 위에 놓임 -;
    상기 개구부를 상기 반사 방지층을 통해 그리고 상기 제1 스페이서의 각각의 쌍들 사이에서 연장시키는 단계;
    상기 제2 마스크 층 위에 그리고 상기 개구부에 리버스(reverse) 재료를 형성하는 단계;
    상기 반사 방지층, 상기 에칭 정지 층, 및 상기 제2 마스크 층을 제거하는 단계; 및
    상기 제1 스페이서 및 상기 리버스 재료의 남겨진 부분을 제1 에칭 마스크로서 사용하여 상기 제1 마스크 층을 패터닝하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 제1 스페이서를 패터닝하는 단계는,
    상기 제1 마스크 층 위에 복수의 제1 맨드렐(mandrel)을 패터닝하는 단계;
    상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계;
    상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제2 스페이서를 형성하는 단계;
    상기 제1 맨드렐의 일부분을 제거하는 단계;
    상기 제2 스페이서를 제2 에칭 마스크로서 사용하여 복수의 제2 맨드렐을 패터닝하는 단계;
    상기 제2 맨드렐의 측벽 및 상부에 제2 스페이서 층을 형성하는 단계;
    상기 제2 스페이서 층의 수평 부분을 제거하고, 상기 제2 스페이서 층의 남겨진 수직 부분이 제1 스페이서를 형성하는 단계
    를 포함하는 것인 방법.
  3. 제2항에 있어서, 상기 제1 스페이서들 간의 피치는, 상기 기판의 제1 영역에서의 상기 제2 스페이서들 간의 피치의 2배인 것인 방법.
  4. 제2항에 있어서, 상기 제1 맨드렐의 일부분은 상기 제2 맨드렐을 패터닝하는 단계 이전에 제거되며, 상기 제2 맨드렐은 상기 기판의 제1 영역에서 패터닝되는 것인 방법.
  5. 제1항에 있어서, 상기 제2 마스크 층에서 개구부를 패터닝하는 단계는,
    상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제1의 3층 포토레지스트로 상기 제2 마스크 층에서 제1 서브세트의 개구부를 에칭하는 단계; 및
    상기 제2 마스크 층에서 상기 제1 서브세트의 개구부를 에칭하는 단계 후에, 상기 에칭 정지 층 및 상기 제2 마스크 층 위의 제2의 3층 포토레지스트로 상기 제2 마스크 층에서 제2 서브세트의 개구부를 에칭하는 단계를 포함하는 방법.
  6. 제1항에 있어서, 상기 에칭 정지 층은 산화물이고, 상기 제2 마스크 층은 금속을 포함하는 것인 방법.
  7. 제1항에 있어서, 상기 제1 마스크 층은 금속을 포함하고, 상기 제2 마스크 층은 유전체인 것인 방법.
  8. 제1항에 있어서, 상기 제1 마스크 층은 상기 기판 상의 타겟 층 위에 형성되고, 상기 방법은 상기 제1 마스크 층을 제3 에칭 마스크로서 사용하여 상기 타겟 층을 에칭하는 단계를 더 포함하는 방법.
  9. 방법에 있어서,
    제1 마스크 층 위의 복수의 제1 맨드렐을 패터닝하는 단계;
    상기 제1 맨드렐의 측벽 및 상부에 제1 스페이서 층을 형성하는 단계;
    상기 제1 스페이서 층의 수평 부분을 제거하고, 상기 제1 스페이서 층의 남겨진 수직 부분이 제1 스페이서를 형성하는 단계;
    상기 제1 스페이서 층의 수평 부분을 제거한 후, 상기 제1 스페이서들 사이에 리버스 재료를 퇴적(deposit)하는 단계; 및
    상기 제1 스페이서 및 상기 리버스 재료의 조합을 제1 에칭 마스크로서 이용하여 상기 제1 마스크 층을 패터닝하는 단계
    를 포함하는 방법.
  10. 방법에 있어서,
    타겟 층 위에 복수의 스페이서를 형성하는 단계;
    상기 스페이서 위에 반사 방지층을 형성하는 단계;
    상기 반사 방지층 위에 제1 마스크 층을 형성하는 단계;
    상기 제1 마스크 층 위에 제1의 3층 포토레지스트를 형성하는 단계;
    상기 제1의 3층 포토레지스트를 사용하여 제1 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계;
    상기 제1의 3층 포토레지스트를 제거하는 단계;
    상기 제1 마스크 층 위에 제2의 3층 포토레지스트를 형성하는 단계;
    상기 제2의 3층 포토레지스트를 사용하여 제2 개구부를 가진 상기 제1 마스크 층을 패터닝하는 단계;
    상기 제2의 3층 포토레지스트를 제거하는 단계; 및
    상기 제1 및 제2 개구부에 리버스 재료를 퇴적하는 단계 - 상기 리버스 재료는 상기 스페이서들 사이에 배치됨 -
    를 포함하는 방법.
KR1020170167681A 2017-06-30 2017-12-07 반도체 디바이스 및 방법 KR102063236B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527728P 2017-06-30 2017-06-30
US62/527,728 2017-06-30
US15/665,682 US10170307B1 (en) 2017-06-30 2017-08-01 Method for patterning semiconductor device using masking layer
US15/665,682 2017-08-01

Publications (2)

Publication Number Publication Date
KR20190003300A true KR20190003300A (ko) 2019-01-09
KR102063236B1 KR102063236B1 (ko) 2020-01-07

Family

ID=64739122

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170167681A KR102063236B1 (ko) 2017-06-30 2017-12-07 반도체 디바이스 및 방법

Country Status (4)

Country Link
US (1) US10170307B1 (ko)
KR (1) KR102063236B1 (ko)
CN (1) CN109216166A (ko)
TW (1) TW201906008A (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10559492B2 (en) 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
CN110600429B (zh) * 2018-06-13 2020-09-15 联华电子股份有限公司 形成电容掩模的方法
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US11037821B2 (en) * 2019-05-01 2021-06-15 Globalfoundries U.S. Inc. Multiple patterning with self-alignment provided by spacers
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
TWI833547B (zh) * 2023-01-11 2024-02-21 南亞科技股份有限公司 半導體元件的製造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003559A (ko) * 1995-06-26 1997-01-28 김주용 반도체 소자의 미세 패턴 형성 방법
KR20000042394A (ko) * 1998-12-24 2000-07-15 김영환 상감 기법을 이용한 미세 금속 패턴 형성 방법
KR20080061860A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR20090022667A (ko) * 2007-08-31 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법
KR20150072362A (ko) * 2013-12-19 2015-06-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패터닝 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7972957B2 (en) * 2006-02-27 2011-07-05 Taiwan Semiconductor Manufacturing Company Method of making openings in a layer of a semiconductor device
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR20160097609A (ko) * 2015-02-09 2016-08-18 삼성전자주식회사 미세 패턴을 가지는 반도체 소자의 제조 방법
KR102564551B1 (ko) * 2016-01-26 2023-08-04 삼성전자주식회사 반도체 소자의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003559A (ko) * 1995-06-26 1997-01-28 김주용 반도체 소자의 미세 패턴 형성 방법
KR20000042394A (ko) * 1998-12-24 2000-07-15 김영환 상감 기법을 이용한 미세 금속 패턴 형성 방법
KR20080061860A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR20090022667A (ko) * 2007-08-31 2009-03-04 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법
KR20150072362A (ko) * 2013-12-19 2015-06-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 패터닝 방법

Also Published As

Publication number Publication date
US20190006174A1 (en) 2019-01-03
TW201906008A (zh) 2019-02-01
US10170307B1 (en) 2019-01-01
CN109216166A (zh) 2019-01-15
KR102063236B1 (ko) 2020-01-07

Similar Documents

Publication Publication Date Title
KR102063236B1 (ko) 반도체 디바이스 및 방법
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
US11348829B2 (en) Patterning methods for semiconductor devices and structures resulting therefrom
US8999848B2 (en) Method for forming fine pattern of semiconductor device using double spacer patterning technology
TWI657500B (zh) 多重圖案化方法、自對準多重圖案化方法及自對準雙重圖案化方法
TWI698929B (zh) 半導體裝置的圖案化方法
JP2010536176A (ja) 緊密なピッチのコンタクトを含む半導体構造体、ならびにその形成方法
US9478459B2 (en) Device and methods for small trench patterning
TW201946100A (zh) 半導體裝置之製造方法
TW202109618A (zh) 圖案化半導體裝置的方法
KR20150065609A (ko) 혼 형상 스페이서를 이용한 트렌치 형성
KR20180061054A (ko) 에칭 마스크를 제거하는 방법
US11887851B2 (en) Method for forming and using mask
TWI744897B (zh) 形成半導體裝置結構的方法
US20240063018A1 (en) Method of fabricating semiconductor device
US20240136184A1 (en) Method for forming and using mask
KR100876759B1 (ko) 반도체 소자의 콘택홀 형성 방법
CN115831859A (zh) 制造半导体器件的方法
KR101103809B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant