TW201947054A - 用於在圖案化基材的表面上選擇性形成材料的製程 - Google Patents

用於在圖案化基材的表面上選擇性形成材料的製程 Download PDF

Info

Publication number
TW201947054A
TW201947054A TW108114884A TW108114884A TW201947054A TW 201947054 A TW201947054 A TW 201947054A TW 108114884 A TW108114884 A TW 108114884A TW 108114884 A TW108114884 A TW 108114884A TW 201947054 A TW201947054 A TW 201947054A
Authority
TW
Taiwan
Prior art keywords
passivation layer
deposition
reactant
dielectric
substrate
Prior art date
Application number
TW108114884A
Other languages
English (en)
Other versions
TWI773897B (zh
Inventor
艾娃 多益斯
維爾傑米 波爾
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201947054A publication Critical patent/TW201947054A/zh
Application granted granted Critical
Publication of TWI773897B publication Critical patent/TWI773897B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

本發明提供用於在基材的相對於先前沉積於第二表面上的鈍化層的第一表面上選擇性沉積介電膜的方法和系統。該方法可包括至少一用於在該第一表面上沉積材料的循環沉積製程,同時移除該鈍化層,藉以防止該鈍化層上方的沉積。

Description

使用沉積與移除之選擇性層的形成
本發明通常有關半導體裝置製造的領域,更具體係,有關使用沉積和移除膜的選擇性形成層。
在半導體產業中,逐漸增加有關選擇性製程的需求。例如,在一表面上可能想要膜生長,但可能不想要在第二個不同的表面上膜生長。這兩個不同表面可包括不同材料,例如一金屬和一介電質。良好的選擇性製程可藉由避免更複雜的用於沉積材料的分離式圖案化(separate patterning)製程(諸如光刻遮罩(photolithographic masking)和圖案化)而減少製程步驟的次數,因而節省時間和金錢。
相關申請案之交叉參考
本專利申請案主張2018年5月2日申請的美國臨時申請案第62/666,039號的優先權,在此所有目的透過引用方式將其整個內容併入本說明書供參考。
在一態樣中,提供一種用於在圖案化基材的第一表面上選擇性形成介電材料的原子層沉積(Atomic Layer Deposition,ALD)製程之方法。該方法包括提供含有第一表面和第二表面的基材,其中該第二表面包含在其上的鈍化層。該方法更包括進行至少沉積循環,該沉積循環包括交替及依序使該基材接觸第一前驅物和含氧的第二反應物。該方法更包括該第二反應物與該第一前驅物起反應以在該第一表面上形成該介電材料,而且其中在每個沉積循環期間,該第二反應物使該鈍化層灰化。
在一些實施例中,該用於ALD製程的方法更包括該第一表面是介電質表面。在一些實施例中,該介電質表面包含氧化矽。在一些實施例中,該第一表面包含低k材料。在一些實施例中,該第二表面是金屬表面。在一些實施例中,該金屬表面包含Co、Cu或W之至少一者。在一些實施例中,該介電材料是氧化物。在一些實施例中,該氧化物是氧化矽。在一些實施例中,該氧化物是金屬氧化物。
在一些實施例中,該第一前驅物包含金屬前驅物、矽前驅物或其混合物。在一些實施例中,該第一前驅物是烷基胺基矽烷(alkylaminosilane)。
在一些實施例中,該鈍化層包含有機材料。在一些實施例中,先選擇性地將該鈍化層沉積在相對於該第一表面的該第二表面上,然後開始該第一沉積循環。在一些實施例中,沉積循環重複多次,以在該介電質表面上形成想要的厚度的氧化物膜。在一些實施例中,在每個沉積循環的開始和結束之間,選擇性沉積額外的鈍化層在該鈍化層上。
在一些實施例中,ALD製程是電漿輔助原子層沉積(Plasma Enhanced Atomic Layer Deposition,PEALD)製程。在某些實施例中,該至少一沉積循環是先從使該基材接觸所述第二反應物開始,然後接觸該第一前驅物。在一些實施例中,該至少一沉積循環更包括在每個循環中使該基材接觸至少一額外的反應物。在一些實施例中,該第二反應物更包含電漿。在一些實施例中,使該基材接觸該第二反應物更包括使用電漿活化該第二反應物。
在一些實施例中,選擇性地將該介電材料形成在相對於該鈍化層的該第一表面上。在一些實施例中,該介電材料形成在該鈍化層上,且藉由該鈍化層的灰化而從該鈍化層移除該介電材料,藉此選擇性地在該第一表面上形成該介電材料。
在另一態樣中,提供一種用於在圖案化基材的表面上選擇性形成材料的循環沉積製程。該方法包括提供含有第一表面和第二表面的基材,其中該第二表面包含在其上的鈍化層。該方法更包括至少一沉積循環,所述沉積循環包括交替及依序使該基材接觸第一前驅物和第二反應物。該第二反應物與該第一前驅物起反應,以在該第一表面上形成該材料,且在每個沉積循環期間,藉由該第二反應物蝕刻該鈍化層。
在一些實施例中,該製程包括原子層沉積(ALD)。在一些實施例中,該製程包括電漿輔助ALD(PEALD)。在一些實施例中,該第二反應物包含電漿活化物質。在一些實施例中,該第二反應物包含氧,該鈍化層包含有機層,且蝕刻包括灰化。在一些實施例中,該鈍化層包含聚合物。
在一些實施例中,先停止沉積,然後該鈍化層的蝕刻暴露該第二表面。在一些實施例中,該方法包括在停止沉積之後且在繼續沉積之前,在該第二表面上方進一步沉積額外的鈍化層。
在另一態樣中,提供一種用於在圖案化基材的第一介電質表面上選擇性形成氧化物材料的電漿輔助原子層沉積(PEALD)製程。該方法包括提供含有第一介電質表面和第二金屬表面的基材,其中該第二金屬表面包含在其上的有機鈍化層。該方法更包括進行至少一沉積循環,該沉積循環包括交替及依序使該基材接觸第一前驅物及含氧和電漿的第二反應物。該第二反應物與該第一前驅物起反應,以在該第一介電質表面上形成氧化物材料,且在每個沉積循環期間,該第二反應物使該有機鈍化層灰化。
熟習該項技藝者將明白,諸如金屬氧化物或氧化矽(例如,SiO)膜的介電膜例如在積體電路製造中具有廣泛應用。根據本發明的一些實施例,提供各種介電膜,特別是氧化物膜;前驅物;及用於沉積此類膜的方法。
在一些實施例中,藉由選擇性沉積製程使材料形成於基材的相對於第二表面的第一表面上。在一些實施例中,該材料是氧化物材料。在一些實施例中,在基材的相對於金屬表面上的鈍化層的介電質表面上,使用循環沉積製程以選擇性地形成介電膜。
例如,圖1A為示意說明在第一表面上沉積材料的選擇性沉積製程,同時減小在第二表面上方的鈍化層的厚度的流程圖100。在第一個繪示的方塊102中,提供具有第一表面和第二表面的基材,其中該第二表面包含在其上的鈍化層。在方塊104中,該基材接觸第一反應物,且在方塊106中,該基材接觸第二反應物。在一些實施例中,交替及依序執行方塊104和方塊106。在所示的判斷方塊108中,在形成足夠厚度的材料之前,方塊104和方塊106可使該基材重複暴露於該第一反應物和該第二反應物。在一些實施例中,形成足夠厚度的材料,因此不重複方塊104和方塊106。在一些實施例中,沒有形成足夠厚度的材料,因此重複方塊104和方塊106,且重複的方塊104和方塊106稱為循環沉積製程。在每個重複中,方塊104和方塊106不需採用相同順序,亦不需要相同地執行。在一些實施例中,連續執行方塊104和方塊106。在一些實施例中,藉由一或多個間歇性製程分開方塊104和方塊106。在一些實施例中,連續執行重複的方塊104和方塊106。在一些實施例中,藉由一或多個間歇性製程分開重複的方塊104和方塊106。在一些實施例中,間歇性製程可選自過量的反應物和副產物的移除(例如,真空及/或惰性氣體清除(purge))、選擇性沉積額外的鈍化層、額外的清潔蝕刻、先重複暴露相同反應物然後暴露另一反應物及/或在一些或所有循環中暴露於額外的反應物之至少一者。涉及過量反應物和副產物的移除有助於分開不同反應物的供應,以最小化氣相交互作用的風險及限制對表面反應的沉積反應。熟習該項技藝者將明白,可容忍殘餘氣體的一些交互作用,以最小化中間移除(例如,清除(purge))步驟的持續時間。避免反應物供應至反應空間的重疊通常足以減少氣相反應,而且經最佳化的流動路徑連同介入清除(intervening purge)可進一步最小化殘餘氣體交互作用。一旦形成想要厚度的材料,在方塊110中完成選擇性沉積製程,其中在第一表面上選擇性地獲得材料,且在所述第二表面上獲得具有減小厚度的鈍化層。反應物可為在沉積膜中留下一或多個元素的前驅物。在一些實施例中,一或多個反應物可用於化學還原、氧化或沉積材料的吸氣(getter)產物。
類似於圖1A,圖1B為示意說明在第一表面上選擇性沉積材料,同時減小鈍化層厚度的示例性流程圖200,然而圖1B包括在第二表面上選擇性地形成鈍化層。應瞭解,關於圖1A討論的任何相同或類似特徵或功能亦可應用於圖1B的相同或類似特徵或功能。在第一個繪示的方塊202中,鈍化層是選擇性地形成在基材的相對於第一表面的第二表面上。在方塊204中,使該基材接觸第一反應物,且在方塊206中,使該基材接觸第二反應物。在所示的判斷方塊208中,可形成足夠厚度的材料,因此如方塊212所示,使用減小厚度的鈍化層在該第一表面上選擇性地獲得材料。如果尚未形成足夠厚度的材料且如果該鈍化層不是在暴露於反應物而完全消耗的風險,判斷方塊210示意說明在方塊204和方塊206中,基材可重複及交替暴露於該第一反應物和該第二反應物。或者,如果該鈍化層存在暴露於反應物而被完全消耗的風險情況下,判斷方塊210示意說明可重複第一個繪示的方塊202,其中鈍化層先選擇性地形成在該基材相對於第一表面的第二表面上,然後在方塊204和方塊206中使基材暴露於該第一反應物和該第二反應物。
在一些實施例中,該循環沉積製程是原子層沉積(ALD)。在一些實施例中,循環沉積製程是循環化學氣相沉積(Chemical Vapor Deposition,CVD)。在一些實施例中,該鈍化層預先沉積在第二表面(例如,金屬表面)上。在一些實施例中,在循環沉積製程期間,部分地移除鈍化層。在ALD製程期間,例如,可在ALD階段(phase)期間緩慢移除該鈍化層,例如藉由蝕刻法。例如,對於有機(例如,聚合物)鈍化層,可在供應氧化劑的沉積階段期間完成蝕刻(例如,灰化),同時在介電質表面上沉積介電膜。在另一實例中,當在ALD製程中使該基材暴露於第二反應物期間,在介電質表面上沉積氧化物材料時,同時移除鈍化層。該鈍化層的緩慢蝕刻可防止在該鈍化層上和在金屬上沉積介電質。
在一些實施例中,ALD製程可為電漿輔助原子層沉積(PEALD)製程。在一些實施例中,提供電漿功率以從含氧的反應物產生更多的反應性物質。在一些實施例中,含氧的反應物包含O2 氣體,其間經歷電漿產生功率。在一些實施例中,電漿可從沉積腔室遠距產生,且電漿產物供應到沉積腔室。在一些遠距電漿實施例中,傳輸路徑最佳化中性氧(O)物質的傳輸,同時最小化離子傳輸至基材。在一些實施例中,電漿可在沉積腔室內原位(in situ )產生。
在一些實施例中,該基材的該第一表面包含介電質表面。在一些實施例中,該基材的該介電質表面包含氧化矽(例如,SiO2 )。在一些實施例中,該基材的該介電質表面包含低k材料。
在一些實施例中,該第二表面包含金屬表面。除非另有說明,否則如果表面在本說明書中稱為金屬表面,則其可為金屬表面(metal surface)或金屬性表面(metallic surface)。在一些實施例中,金屬表面或金屬性表面可包含金屬、金屬氧化物及/或其混合物。在一些實施例中,金屬表面或金屬性表面可包含表面氧化。在一些實施例中,金屬表面或金屬性表面之金屬材料或金屬性材料在存在或不存在表面氧化的情況下具有導電性。在一些實施例中,金屬表面或金屬性表面包含一或多種過渡金屬。在一些實施例中,金屬表面或金屬性表面包含Al、Cu、Co、Ni、W、Nb、Fe之一或多者。在一些實施例中,金屬表面或金屬性表面包含Co、Cu或W的至少一者。在一些實施例中,金屬表面或金屬性表面包含一或多個貴金屬,諸如Ru。在一些實施例中,金屬表面或金屬性表面包含導電金屬氧化物、氮化物、碳化物、硼化物或其組合。例如,金屬表面或金屬性表面可包含RuOx 、NbCx 、NbBx 、NiOx 、CoOx 、NbOx 和WNCx 之一或多者。在一些實施例中,該基材可包含金屬氮化物,包括但沒有限於TiN及/或TaN。在一些實施例中,該金屬表面可包含金屬碳化物,包括但沒有限於TiC及/或TaC。在一些實施例中,該金屬表面可包含一金屬硫屬化物(chalcogenide),包括但沒有限於MoS2 、Sb2 Te3 及/或GeTe。在一些實施例中,金屬表面是TiN表面。在一些實施例中,金屬表面是W表面。
選擇性
選擇性可如式子[(第一表面上的沉積)-(第二表面上的沉積)]/(第一表面上的沉積)計算出的百分比取得。沉積可以多種方式中之任一者加以測量。在一些實施例中,沉積可如沉積材料的測量厚度取得。在一些實施例中,沉積可如測量的沉積材料量取得。
在一些實施例中,選擇性大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。在本說明書描述的實施例中,所述選擇性可針對沉積的持續時間或厚度來改變。
在一些實施例中,諸如氧化物的介電質沉積僅發生在該第一介電質表面上,而不是發生在該第二金屬表面上方的鈍化層上。在一些實施例中,在該基材的相對於鈍化層的第一表面上的沉積是至少約80%選擇性,這對於一些特定應用可為足夠選擇性。在一些實施例中,在該基材的相對於鈍化層的第一表面上沉積是至少約50%選擇性,這對於一些特定應用可為足夠選擇性。在一些實施例中,在該基材的相對於鈍化層的第一表面上的沉積是至少約10%選擇性,此對於一些特定應用可為足夠選擇性。
在一些實施例中,可先選擇性地將該鈍化層形成在金屬表面上,然後選擇性地在介電質表面上沉積該介電材料。例如,在一些實施例中,鈍化層可毯式(blanket)沉積在圖案化基材上,而且藉由習知製程進行圖案化和蝕刻,以選擇性地留下該鈍化層,以避免後續沉積,諸如在金屬表面上方。在其他實施例中,可選擇性地將鈍化層沉積在金屬層上。鈍化層的選擇性沉積可例如如下的描述實現,而且如美國專利公開案第2017-0352533 A1號(專利申請案第15/170,769號)或美國專利公開案第2017-0352550 A1號(專利申請案第15/486,124號)中的描述,其每個專利案的全部揭露內容是整個併入本說明書供參考。
如前述,在金屬表面上方選擇性形成鈍化層不需要100%選擇性以實現100%選擇性。例如,鈍化層沉積可為部分選擇性,使得其在金屬表面上方形成的厚度較在該介電質表面上方的厚度厚。鈍化材料的隨後短暫蝕刻可持續一段時間以暴露介電質表面,同時留下覆蓋該金屬表面的一些鈍化層。
ALD製程
根據一些實施例,使用以氧為基礎的ALD製程使介電膜沉積在基材的第一表面上。在一些實施例中,沉積的介電膜可為例如氧化矽(例如,SiO2 )或可使用PEALD製程生長的其他金屬氧化物。在一些實施例中,沉積的介電膜可包含SiO2 、TiO2 、ZrO2 、HfO2 、Nb2 O5 、Ta2 O5 、WO3 、NiO及其組合。鈍化層可覆蓋該基材的第二表面。在一些實施例中,該鈍化層是有機層。該有機鈍化層可為聚合物,諸如聚醯亞胺(polyimide)或聚醯胺(polyamide)。
在一些實施例中,使用以氧為基礎的ALD製程使氧化物材料膜沉積在基材的第一表面上。在一些實施例中,沉積的氧化物材料膜可為導電氧化物膜。例如,在一些實施例中,導電氧化物膜是氧化銦錫(Indium Tin Oxide,ITO)膜。在一些實施例中,沉積的氧化物材料膜可為介電膜,如本說明書的描述。
在一些實施例中,該鈍化層抑制在其的氧化物材料膜及/或介電膜沉積。然而,在一些實施例中,該鈍化層不抑制氧化物材料膜或介電膜的沉積,亦即,氧化物材料膜或介電膜化學物質在下面的介電質基材表面和該鈍化層之間可不具有選擇性。這是因為選擇條件以確保在ALD製程期間一些鈍化層的移除,如此底切(undercutting)及移除在該鈍化層上方的氧化物材料膜或介電膜的任何沉積。當該鈍化層是犧牲層時,在該循環沉積階段之一者期間緩慢移除(例如,蝕刻)鈍化層。例如,在ALD序列(sequence)中,有機(例如,聚合物)鈍化層可被ALD序列的氧化劑階段(oxidant phase)緩慢灰化,這防止氧化物材料膜或介電膜在該鈍化層上生長。在另一示例中,在ALD序列中,在ALD製程中將基材暴露於第二反應物期間移除鈍化層,這防止氧化物材料膜或介電膜在該鈍化層上生長。相對於在該鈍化層上的生長,氧化物材料膜或介電膜通常(非選擇性或使用低選擇性)沉積在介電質表面上。無論氧化物材料膜或介電材料是否正常或選擇性沉積在該介電質表面上,藉由ALD序列的氧化劑階段緩慢蝕刻(例如,灰化)犧牲鈍化層具有選擇性地形成氧化物材料膜或介電材料在相對於該鈍化層的介電基材上的最終效果。因此,使用圖1A或圖1B的製程可有效提高形成氧化物材料膜或介電膜的選擇性。
在一些實施例中,在執行氧化物材料膜或介電膜的沉積之前但在形成該鈍化層之後,可使用具有適當參數的電漿預處理來移除留在介電質表面上的任何鈍化層。在一些實施例中,不是從介電質表面上方移除任何鈍化材料的單獨製程,而是藉由ALD製程的初始階段(包括氧化階段)或藉由在啟動完整ALD製程之前僅進行ALD製程的氧化階段來移除留在介電質表面上的任何鈍化層,其中在完全灰化介電質上的較薄鈍化層之後,開始氧化物材料膜或介電膜沉積製程。因此,在該第一表面上選擇性沉積之前,ALD序列可從包含氧化劑的第二反應物開始,用於從該第一表面短時間蝕刻不想要的鈍化材料,而不從該第二表面移除所有鈍化材料。
在一些實施例中,ALD製程的氧化階段是PEALD序列中的電漿處理。在一些實施例中,電漿是以氧為基礎的。例如,電漿可採用O2 氣體或O2 和惰性氣體(諸如Ar)的混合物。在一些實施例中,電漿可採用含氧的氣體產生,或可另外包含激發的氧氣物質。在一些實施例中,ALD製程的氧化階段是非電漿氧化製程(例如,H2 O或O3 )。
在一些實施例中,電漿(例如含氧電漿)可藉由施加從約10W至約2000W、從約50W至約1000W、從約100W至約500W、從約30W至100W或在一些實施例約100W的RF功率來產生。在一些實施例中,RF功率密度可從約0.02 W/cm2 至約2.0 W/cm2 或從約0.05 W/cm2 至約1.5 W/cm2 。RF功率可施加到在電漿接觸時間期間流動的反應物,其連續流過反應腔室,及/或流過遠距電漿產生器。因此,在一些實施例中,電漿是原位產生,而在其他實施例中,電漿是遠距產生。在一些實施例中,使用噴淋(showerhead)反應器且在基座(基材所位於的上方)和噴淋板之間原位產生電漿。在一些實施例中,基座和噴淋板之間的間隙是從約0.1cm至約20cm、從約0.5cm至約5cm或從約0.8cm至約3.0cm。
完全移除鈍化層前可在該介電質表面上形成該氧化物膜的厚度,取決於該鈍化層初始厚度、鈍化層的灰化速率和氧化物沉積製程的生長速率。例如,圖2呈現在灰化速率為~0.2 Å/循環、20nm犧牲聚醯亞胺層在金屬表面上及每循環生長(Growth Per Cycle,GPC)為1Å/循環的情況下,施加500個循環之以氧為基礎的PEALD製程可在介電質表面上沉積50 nm的SiO2 。圖2顯示氬氣以700 sccm供應、O2 以100 sccm供應、壓力保持在2 Torr、電漿功率設定為100W、基材溫度保持在100ºC,且每個氧化劑階段包括1秒O2 電漿和1秒清除(purge)的情況下所暴露於氧化劑階段時的聚醯亞胺灰化速率。PEALD將包括前述氧化劑階段交替供應矽前驅物與清除的一階段,其中矽前驅物係經選擇吸附在介電質或生長的氧化矽膜上,而且隨著氧化劑階段起反應以形成氧化矽。在其他實施例中,氧化劑階段可交替供應一或多個金屬前驅物階段和伴隨清除階段,其中選擇金屬前驅物以吸附在介電質表面或生長金屬氧化物膜,且隨著氧化劑階段起反應以形成想要的氧化物。
在一些實施例中,可調整犧牲鈍化層的蝕刻速率最佳化,使得在ALD製程期間的氧化物材料膜或介電膜的生長不會導致在鈍化層上的淨沉積(net deposition)。在一些實施例中,用於在鈍化層上沉積的孕育時間足夠長,使得在該介電質表面上沉積想要的氧化物層厚度。在一些實施例中,在金屬表面上方形成足夠厚的鈍化層,使得可使用ALD製程在該介電質表面上方沉積足夠厚的氧化物膜,而不會進一步沉積另一鈍化膜層,即,沒有完全消耗初始鈍化層。
在一些實施例中,選擇性鈍化層沉積和該選擇性ALD製程是採用迭代方式(iterative manner)執行,例如,諸如使用圖1B所示的製程。所述迭代製程可允許在執行ALD製程之後添補鈍化層的厚度,因此允許執行隨後的ALD製程。例如,在沉積的氧化物以較快於藉由底切將其移除而開始形成在該鈍化層上之前,如果該鈍化層在100個循環中被灰化或如果鈍化層上的孕育時間是100個循環,可執行90個循環的第一ALD製程以選擇性地在該介電質表面上沉積氧化物,可在先前鈍化層上方執行一後續鈍化層的沉積,及可執行第二90個循環的ALD製程。在一些實施例中,所述迭代製程可視需要來重複多次,以在該介電質表面上獲得想要的氧化物層厚度。熟習該項技藝者將明白,必要的迭代製程次數將隨著各種因素而變化,諸如,例如想要的沉積氧化物厚度、鈍化層厚度及鈍化層的灰化率或孕育時間。
在一些實施例中,所述PEALD沉積可基本上如前述實現。在其他實施例中,基材交替及依序接觸含有包含在沉積材料(諸如金屬或矽)中元素的第一反應物及含氧的第二反應物;及第二電漿反應物。在一些實施例中,該第二電漿反應物不包含氧物質。在一些實施例中,除該第二反應物之外不使用含氧物質的反應物。所述電漿和前驅物(即所述第一反應物和第二反應物)可藉由移除製程(例如清除)分離的脈衝中提供,其中移除在反應空間中的過量反應物和反應副產物(若存在)。在一些實施例中,PEALD沉積製程是從電漿脈衝開始,然後是前驅物,且反應序列或沉積循環可重複想要的次數(A):
A x (電漿脈衝/清除/前驅物/清除)
在一些實施例中,所述沉積循環是從非電漿前驅物開始,然後是電漿脈衝。
根據一些實施例,使用的PEALD製程可為任何適當的以氧為基礎的電漿處理。在一些實施例中,沉積的介電膜是氧化物膜。在一些實施例中,沉積的介電膜是金屬氧化物膜。在一些實施例中,沉積的介電膜可選自由SiO2 、TiO2 、ZrO2 、HfO2 、Nb2 O5 、Ta2 O5 、WO3 和NiO所組成的群組。在一些實施例中,沉積的介電膜是氧化矽。在一些實施例中,氧化物前驅物是用於沉積氧化矽膜的烷基胺基矽烷。
在一些實施例中,藉由以氧為基礎的PEALD製程,氧化物膜選擇性地形成在相對於該基材的第二不同金屬表面或金屬性表面的該基材的第一介電質表面上。例如,氧化矽可藉由以氧為基礎的PEALD製程而選擇性地沉積在相對於金屬表面的低k介電質表面(例如,以氧化矽為基礎的表面)。
圖3顯示一些實施例的示意圖,其中基材302包括第一結構304的第一表面(例如,介電層的介電質表面)和具有不同材料成分的第二結構306的第二表面(例如,金屬層的金屬表面,諸如鈷、銅或鎢表面),其更包含具有第一厚度的初始鈍化層308A(例如,20 nm聚醯亞胺有機層),其中材料312是選擇性地沉積在相對於該第二表面的該第一表面上(由於選擇性相對於所覆蓋的初始鈍化層308A)。在一些實施例中,選擇性地沉積的材料312是氧化物材料。在一些實施例中,該氧化物材料是介電材料。在本說明書描述的實例中,該介電氧化物是氧化矽膜。如圖3所示,在一或多個循環的選擇性沉積製程310(例如,500個循環的以氧為基礎的PEALD氧化矽沉積製程)之後,材料312(例如,50nm的SiO)沉積在該第一結構304的第一表面上方,殘餘鈍化層308B已減小到第二厚度(例如,10 nm的聚醯亞胺有機層)。在一些實施例中,先停止該選擇性沉積製程,然後移除所有初始鈍化層。在一些實施例中,在完成該選擇性沉積製程之後,可隨後移除減小厚度的殘餘鈍化層308B(例如,藉由灰化法)而沒有沉積暴露該第二結構306的第二表面。
在一些實施例中,揭露的PEALD製程可實現在介電質表面上選擇性形成氧化矽或其他氧化物。在一些實施例中,相較於習知的圖案化製程,揭露的PEALD製程可實現減少各種裝置製造製程流程中形成想要圖案的步驟次數。
熟習該項技藝者應瞭解可進行許多和各種修改,不致悖離本發明的精神。所描述的特徵、結構、特性及前驅物可以任何合適方式組合。因此,應該清楚理解本發明之形式僅為說明性而不是意欲限制本發明之範圍。所有修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。
100、200‧‧‧流程圖
102、104、106、110、202、204、206、212‧‧‧方塊
108、208、210‧‧‧判斷方塊
302‧‧‧基材
304‧‧‧第一結構
306‧‧‧第二結構
308A‧‧‧初始鈍化層
308B‧‧‧殘餘鈍化層
310‧‧‧選擇性沉積製程
312‧‧‧材料
圖1A為示意說明用於在第一表面上沉積材料,同時減小在第二表面上方的鈍化層厚度之選擇性沉積製程的流程圖。
圖1B為示意說明用於在第一表面上沉積材料,同時減小選擇性地沉積在第二表面上方的鈍化層厚度之選擇性沉積製程的流程圖。
圖2為顯示聚醯亞胺層(polyimide layer)的厚度與進行氧化的循環次數一些實施例之圖式,其中計算鈍化層灰化速率(passivation ash rate)。
圖3示意說明藉由循環沉積製程在基材的第一表面上選擇性沉積材料,同時循環沉積製程移除第二表面上方的鈍化層。

Claims (30)

  1. 一種用於在圖案化基材的第一表面上選擇性形成介電材料的原子層沉積(ALD)製程,其包括: 提供含有第一表面和第二表面的基材,其中該第二表面包括在其上的鈍化層; 進行至少一沉積循環,該沉積循環包括交替及依序使該基材接觸第一前驅物和含氧的第二反應物; 其中該第二反應物與該第一前驅物起反應,以在該第一表面上形成介電材料;及 其中在每個沉積循環期間,該第二反應物使該鈍化層灰化。
  2. 如申請專利範圍第1項所述之方法,其中該第一表面是介電質表面。
  3. 如申請專利範圍第2項所述之方法,其中該介電質表面包含氧化矽。
  4. 如申請專利範圍第1項所述之方法,其中該第一表面包含低k材料。
  5. 如申請專利範圍第1項所述之方法,其中該第二表面是金屬表面。
  6. 如申請專利範圍第5項所述之方法,其中該金屬表面包含Co、Cu或W之至少一者。
  7. 如申請專利範圍第1項所述之方法,其中該介電材料是氧化物。
  8. 如申請專利範圍第7項所述之方法,其中該氧化物是氧化矽。
  9. 如申請專利範圍第7項所述之方法,其中該氧化物是金屬氧化物。
  10. 如申請專利範圍第1項所述之方法,其中該第一前驅物包含金屬前驅物、矽前驅物或其混合物。
  11. 如申請專利範圍第1項所述之方法,其中該第一前驅物是烷基胺基矽烷。
  12. 如申請專利範圍第1項所述之方法,其中該鈍化層包含有機材料。
  13. 如申請專利範圍第1項所述之方法,其中先選擇性地將該鈍化層沉積在相對於該第一表面的該第二表面上,然後開始該第一沉積循環。
  14. 如申請專利範圍第1項所述之方法,其中該沉積循環重複多次,以在介電質表面上形成想要的厚度的氧化物膜。
  15. 如申請專利範圍第14項所述之方法,更包括在每個沉積循環的開始和結束之間,選擇性沉積額外的鈍化層至該鈍化層。
  16. 如申請專利範圍第1項所述之方法,其中該ALD製程是電漿輔助原子層沉積(PEALD)製程。
  17. 如申請專利範圍第1項所述之方法,其中該至少一沉積循環是先從使該基材接觸該第二反應物開始,然後接觸該第一前驅物。
  18. 如申請專利範圍第1項所述之方法,其中該至少一沉積循環更包括在每個循環中使該基材接觸至少一額外的反應物。
  19. 如申請專利範圍第1項所述之方法,其中使該基材接觸該第二反應物更包括使用電漿活化該第二反應物。
  20. 如申請專利範圍第1項所述之方法,其中選擇性地將該介電材料形成在相對於該鈍化層的該第一表面上。
  21. 如申請專利範圍第1項所述之方法,其中該介電材料形成在該鈍化層上,且其中藉由該鈍化層的灰化而從該鈍化層移除該介電材料,藉此選擇性地在該第一表面上形成該介電材料。
  22. 一種用於在圖案化基材的表面上選擇性形成材料的循環沉積製程,其包括: 提供含有第一表面和第二表面的基材,其中該第二表面包含在其上的鈍化層; 進行至少一沉積循環,該沉積循環包括交替及依序使該基材接觸第一前驅物和第二反應物; 其中該第二反應物與該第一前驅物起反應,以在該第一表面上形成該材料;及 其中在每個沉積循環期間,藉由該第二反應物蝕刻該鈍化層。
  23. 如申請專利範圍第22項所述之循環沉積製程,其中該製程包括原子層沉積(ALD)。
  24. 如申請專利範圍第23項所述之循環沉積製程,其中該製程包括電漿輔助原子層沉積(PEALD)。
  25. 如申請專利範圍第22項所述之循環沉積製程,其中該第二反應物包含電漿活化物質。
  26. 如申請專利範圍第25項所述之循環沉積製程,其中該第二反應物包含氧,該鈍化層包含有機層,且蝕刻包含灰化。
  27. 如申請專利範圍第26項所述之循環沉積製程,其中該鈍化層包含聚合物。
  28. 如申請專利範圍第22項所述之循環沉積製程,其中先停止沉積,然後該鈍化層的蝕刻暴露該第二表面。
  29. 如申請專利範圍第28項所述之循環沉積製程,更包括在停止沉積之後且在繼續沉積之前,在該第二表面上方進一步沉積額外的鈍化層。
  30. 一種用於在圖案化基材的第一介電質表面上選擇性形成氧化物材料的電漿輔助原子層沉積(PEALD)製程,其包括: 提供含有第一介電質表面和第二金屬表面的基材,其中該第二金屬表面包含在其上的有機鈍化層; 進行至少一沉積循環,該沉積循環包括交替及依序使該基材接觸第一前驅物及含氧和電漿的第二反應物; 其中該第二反應物與該第一前驅物起反應,以在該第一介電質表面上形成氧化物材料;及 其中在每個沉積循環期間,該第二反應物使該有機鈍化層灰化。
TW108114884A 2018-05-02 2019-04-29 用於在圖案化基材的表面上選擇性形成材料的製程 TWI773897B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862666039P 2018-05-02 2018-05-02
US62/666,039 2018-05-02

Publications (2)

Publication Number Publication Date
TW201947054A true TW201947054A (zh) 2019-12-16
TWI773897B TWI773897B (zh) 2022-08-11

Family

ID=68383895

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111126563A TWI783909B (zh) 2018-05-02 2019-04-29 用於在基材的表面上選擇性形成材料的方法
TW108114884A TWI773897B (zh) 2018-05-02 2019-04-29 用於在圖案化基材的表面上選擇性形成材料的製程
TW111139156A TWI820967B (zh) 2018-05-02 2019-04-29 用於在基材的表面上選擇性形成材料的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111126563A TWI783909B (zh) 2018-05-02 2019-04-29 用於在基材的表面上選擇性形成材料的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111139156A TWI820967B (zh) 2018-05-02 2019-04-29 用於在基材的表面上選擇性形成材料的方法

Country Status (5)

Country Link
US (3) US10872765B2 (zh)
JP (3) JP7146690B2 (zh)
KR (2) KR102521375B1 (zh)
CN (2) CN110444476B (zh)
TW (3) TWI783909B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795844B (zh) * 2020-09-10 2023-03-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
CN113838744A (zh) 2020-06-24 2021-12-24 Asm Ip私人控股有限公司 区域选择性有机材料去除
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Family Cites Families (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101530502B1 (ko) 2002-11-15 2015-06-19 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5412294B2 (ja) 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
WO2014209390A1 (en) 2013-06-28 2014-12-31 Intel Corporation Selective epitaxially grown iii-v materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
MY182653A (en) 2013-12-19 2021-01-27 Intel Corp Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) * 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
EP3310788A4 (en) 2015-06-18 2019-02-20 INTEL Corporation INHERENT SELECTIVE PRELIMINARY TO SEPARATE TRANSITION METAL THIN FILMS OF THE SECOND OR THIRD SERIES
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) * 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
KR101822577B1 (ko) 2017-10-31 2018-03-08 나정균 분리배출이 용이한 친환경 아이스팩
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795844B (zh) * 2020-09-10 2023-03-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式

Also Published As

Publication number Publication date
TW202307245A (zh) 2023-02-16
CN114678271A (zh) 2022-06-28
JP7240549B2 (ja) 2023-03-15
US11804373B2 (en) 2023-10-31
TWI773897B (zh) 2022-08-11
US10872765B2 (en) 2020-12-22
CN110444476B (zh) 2022-04-19
US20230016537A1 (en) 2023-01-19
JP2023065574A (ja) 2023-05-12
KR102521375B1 (ko) 2023-04-14
JP7146690B2 (ja) 2022-10-04
US11501966B2 (en) 2022-11-15
JP2019195059A (ja) 2019-11-07
KR20230051653A (ko) 2023-04-18
CN110444476A (zh) 2019-11-12
US20190341245A1 (en) 2019-11-07
TWI820967B (zh) 2023-11-01
JP2022177198A (ja) 2022-11-30
TW202242172A (zh) 2022-11-01
KR20190127578A (ko) 2019-11-13
US20210118669A1 (en) 2021-04-22
TWI783909B (zh) 2022-11-11

Similar Documents

Publication Publication Date Title
TWI773897B (zh) 用於在圖案化基材的表面上選擇性形成材料的製程
TWI761636B (zh) 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
JP7350920B2 (ja) 有機膜の堆積
TWI819806B (zh) 基材上選擇性沉積金屬薄膜之方法
TWI764982B (zh) 自限制原子熱蝕刻系統及方法
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
TWI743035B (zh) 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
TW202026461A (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
TWI657161B (zh) 摻雜金屬的非晶碳膜的沉積
JP2019195059A5 (zh)
KR20240060762A (ko) 선택적 패시베이션 및 선택적 증착
KR20240054812A (ko) 집적회로 소자의 제조 방법