TWI743035B - 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理 - Google Patents

改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理 Download PDF

Info

Publication number
TWI743035B
TWI743035B TW105111236A TW105111236A TWI743035B TW I743035 B TWI743035 B TW I743035B TW 105111236 A TW105111236 A TW 105111236A TW 105111236 A TW105111236 A TW 105111236A TW I743035 B TWI743035 B TW I743035B
Authority
TW
Taiwan
Prior art keywords
metal
layer
amorphous carbon
carbon layer
doped amorphous
Prior art date
Application number
TW105111236A
Other languages
English (en)
Other versions
TW201709269A (zh
Inventor
程睿
曼納帕拉米特
馬里克愛柏亥吉巴蘇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201709269A publication Critical patent/TW201709269A/zh
Application granted granted Critical
Publication of TWI743035B publication Critical patent/TWI743035B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本揭示案係關於改善硬遮罩層與硬遮罩層上的後續層之間的黏著的方法。特定而言,本揭示案之實施例係關於改善摻金屬非晶碳層與諸如氧化矽層、氮化矽層或非晶矽層之遮罩層之間的黏著的方法。本揭示案之一個實施例包括對摻金屬非晶碳層執行電漿處理。

Description

改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
本揭示案之實施例大體而言係關於積體電路之製造且特定而言係關於圖案化諸如非晶碳之硬遮罩材料。
蝕刻選擇性硬遮罩材料的發展係對於圖案化新一代NAND(反及)及DRAM(動態隨機存取記憶體)裝置的一項重任。諸如非晶碳(表示為a-C)之碳基材料因自身化學惰性、光學透明度及良好機械特性已證明是充當氧化矽、氮化矽、多晶矽或金屬(例如,鋁)材料之蝕刻硬遮罩的有效材料。在製造期間,可使用諸如氧化矽、氮化矽或能量敏感層之額外遮罩層圖案化硬遮罩層。
然而,已觀察到非晶碳硬遮罩層與額外遮罩層(諸如氧化矽層)之間的不良黏著。不良黏著可導致非所欲的顆粒問題或低品質圖案化。
因此,本技術領域需要改善硬遮罩層與後續層之間的黏著。
本揭示案之實施例大體而言係關於積體電路之製造且特定而言係關於圖案化硬遮罩層。
在一個實施例中,提供一種在處理腔室中處理基板之方法。方法包括:在製程腔室中安置基板,其中在基板之頂表面上形成摻金屬非晶碳層;對摻金屬非晶碳層執行電漿處理;以及在所處理的摻金屬非晶碳層上方沉積遮罩層。
另一實施例提供一種處理基板之方法。方法包括:在基板之表面上方形成摻金屬非晶碳層;使摻金屬非晶碳層曝露於處理氣體中;在摻金屬非晶碳層上方沉積氧化矽層;在氧化矽層中形成由貫穿開口組成的界定圖案;使用氧化矽層作為遮罩將界定圖案轉印至摻金屬非晶碳層;以及使用摻金屬碳層作為遮罩將界定圖案轉印至摻金屬碳層下方的下伏層。
另一實施例提供一種處理基板之方法。方法包括:使基板曝露於包含含金屬前驅物的第一氣體混合物中;在處理腔室中分解第一氣體混合物以在基板之表面上方形成摻金屬碳層;使摻金屬碳層曝露於處理氣體中;產生處理氣體之電漿;以及使摻金屬碳層曝露於第二氣體混合物中以在摻金屬碳層上方形成氧化矽層。
100‧‧‧製程腔室
101‧‧‧側面
102‧‧‧真空泵
106‧‧‧電源
110‧‧‧控制器
112‧‧‧中央處理單元
114‧‧‧支援電路
116‧‧‧記憶體
118‧‧‧訊號匯流排
120‧‧‧淋噴頭
122‧‧‧底部壁
124‧‧‧頂部
126‧‧‧內部處理體積
128‧‧‧孔
130‧‧‧氣體分配盤
132‧‧‧基板處理系統
138‧‧‧匹配網路
140‧‧‧RF電源
150‧‧‧基座
160‧‧‧桿
170‧‧‧加熱器元件
172‧‧‧溫度感測器
190‧‧‧基板
191‧‧‧表面
192‧‧‧表面
202‧‧‧材料層
204‧‧‧摻金屬非晶碳層
204a‧‧‧頂表面
208‧‧‧遮罩層
240‧‧‧開口
250‧‧‧基板結構
300‧‧‧方法
310‧‧‧方塊
320‧‧‧方塊
330‧‧‧方塊
340‧‧‧方塊
因此,可詳細理解本揭示案之上述特徵之方式,可參照實施例獲得上文簡要概述之本揭示案之更特定描述,其中一些實施例圖示於附加圖式中。然而,應 注意,附加圖式僅圖示出示例性實施例,且因此此等圖式不欲視為本揭示案範疇之限制,因為本揭示案可允許其他同等有效之實施例。
第1圖描繪可用於本文所描述之實施例之實踐的設備之示意圖。
第2A圖至第2E圖圖示處於製備硬遮罩的積體電路製造序列之不同階段的第1圖之基板之示意性橫截面視圖。
第3圖係描繪根據本揭示案之實施例的用於圖案化硬遮罩之方法的製程流程圖。
為了促進理解,相同元件符號已儘可能用於代表諸圖共有之相同元件。應設想,一個實施例之元件及特徵可有益地併入其他實施例,而無需贅述。
本揭示案之實施例大體而言係關於積體電路之製造且特定而言係關於圖案化硬遮罩層,更特定言之,係關於圖案化摻金屬非晶碳層以用作蝕刻下伏層、層堆疊或結構的硬遮罩。
在各種實施例中,在非晶碳膜上形成額外遮罩層之前,可對摻有過渡金屬的非晶碳膜之合成物執行電漿處理。可藉由使非晶碳膜曝露於氬氣或氫氣之電漿中來執行電漿處理。下文論述本揭示案及各種實施方式之細節。
用於沉積製程的示例性腔室硬體
第1圖係基板處理系統132之示意性表示,此基板處理系統可用於根據本文所描述之實施例電漿處理非晶碳層。基板處理系統132亦可用於在電漿處理之前沉積摻雜非晶碳層及/或在摻雜非晶碳層之電漿處理之後沉積氧化矽層。
適宜腔室之範例包括:CENTURA®系統,此等系統可使用DxZTM製程腔室;PRECISION 5000®系統;PRODUCER®系統,諸如PRODUCER SETM製程腔室及PRODUCER GTTM製程腔室,所有上述系統可購自美國加州聖克拉拉市的應用材料公司。應設想,可在包括來自其他製造商之處理系統的其他基板處理系統中執行本文所描述之製程。
基板處理系統132包括製程腔室100,此製程腔室經耦接至氣體分配盤130及控制器110。製程腔室100大體上包括界定內部處理體積126之頂部124、側面101及底部壁122。在製程腔室100之內部處理體積126中提供基座150。基座150藉由桿160支撐且可通常由鋁、陶瓷及其他適宜材料製成。可使用位移機構(未圖示)在製程腔室100內部的垂直方向上移動基座150。
基座150可包括嵌入式加熱器元件170,此加熱器元件適用於控制基座150之表面192上支撐的基板190之溫度。可藉由施加來自電源106的電流至加熱器元件170來電阻性加熱基座150。加熱器元件170可由封裝在鎳鐵鉻合金(例如,INCOLOY®)保護套管 中的鎳鉻金屬線製成。藉由控制器110調節自電源106供應的電流以控制由加熱器元件170產生的熱量,從而在膜沉積期間將基板190及基座150維持在實質恆定溫度。所供應電流可經調節以選擇性控制基座150之溫度處於約100攝氏度至約700攝氏度之間。
可將溫度感測器172(諸如熱電偶)嵌入基座150中以用習知方式監測基座150之溫度。所量測之溫度由控制器110使用以控制供應至加熱器元件170的功率以將基板維持在所欲溫度。
將真空泵102耦接至製程腔室100之底部中形成的埠。真空泵102係用於維持製程腔室100中的所欲氣體壓力。真空泵102亦自製程腔室100抽空後處理氣體及製程之副產物。
基板處理系統132可進一步包括用於控制腔室壓力的額外設備,例如安置於製程腔室100與真空泵102之間的閥門(例如,節流閥及隔離閥)以控制腔室壓力。
在製程腔室100之頂部上且在基座150上方安置具有複數個孔128的淋噴頭120。淋噴頭120之孔128用於將製程氣體引入到製程腔室100中。孔128可具有不同尺寸、數量、分佈、形狀、設計及直徑以促進對於不同製程需要的各種製程氣體之流動。將淋噴頭120連接至氣體分配盤130,從而允許各種氣體在製程期間供應至內部處理體積126。電漿可由離開淋噴頭 120的製程氣體混合物形成以增強製程氣體之熱分解,從而引發材料在基板190之表面191上的沉積。
氣體分配盤130亦可用於控制並供應各種汽化液體前驅物。儘管未圖示,但可例如藉由液體噴射汽化器汽化來自液體前驅物供應器的液體前驅物,且在載氣參與的情況下將汽化液體前驅物輸送至製程腔室100。載氣通常為惰性氣體(諸如氮)或稀有氣體(諸如氬或氦)。或者,可藉由熱及/或真空增強汽化製程自安瓿汽化液體前驅物。
淋噴頭120及基板支撐基座150可在內部處理體積126中形成一對間隔分離電極。一或更多個RF電源140經由匹配網路138提供偏壓電位至淋噴頭120以促進淋噴頭120與基座150之間的電漿產生。或者,可將RF電源140及匹配網路138耦接至淋噴頭120、基座150,或耦接至淋噴頭120與基座150兩者,或耦接至安置於製程腔室100外部的天線(未圖示)。在一個實施例中,RF電源140可提供約50kHz至約13.6MHz之頻率下的約100瓦特與約3,000瓦特之間。在另一實施例中,RF電源140可提供約50kHz至約13.6MHz之頻率下的約500瓦特與約1,800瓦特之間。
控制器110包括中央處理單元(central processing unit;CPU)112、記憶體116及支援電路114,用於控制製程序列並調節來自氣體分配盤130的氣流。CPU 112可具有可用於工業設置的通用電腦處 理器之任何形式。可在記憶體116或其他形式之數位儲存器中儲存軟體常式,此記憶體諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟機。支援電路114習知地經耦接至CPU 112且可包括快取記憶體、時脈電路、輸入/輸出系統、電源等。經由眾多訊號電纜處置控制器110與基板處理系統132之各部件之間的雙向通訊,此等訊號電纜統稱為訊號匯流排118,此等訊號匯流排中的一些圖示於第1圖中。
其他製程腔室亦可受益於本揭示案且上文列出之參數可根據執行電漿處理、形成非晶碳層或氧化矽層所使用之特定沉積室而變化。舉例而言,其他製程腔室可具有更大或更小的體積,因而需要比對於可購自應用材料公司的製程腔室所描述的氣體流動速率更大或更小的氣體流動速率。
硬遮罩之示例性製造製程
第2A圖至第2E圖圖示處於圖案化硬遮罩的積體電路製造序列之不同階段的第1圖之基板190之示意性橫截面視圖。如第1圖及第2A圖所示,基板190可具有實質平坦表面。或者,基板可具有圖案化結構,內部形成有溝槽、孔或通孔的表面。基板190亦可具有實質平坦表面,此實質平坦表面上或表面內在所欲高度處形成有結構。儘管第1圖及第2圖中將基板190圖示為單一主體,但應理解,基板190可含有用於形成半導體元件的一或更多個材料層,此等半導體元件諸如金屬觸 點、溝槽隔離部、閘極、位元線或任何其他互連特徵。基板結構250表示基板190以及基板190上所形成的其他材料層。
基板190可包含用於製造半導體元件的一或更多個金屬層、一或更多種介電材料、半導體材料及上述之組合。舉例而言,取決於應用,基板190可包括氧化物材料、氮化物材料、多晶矽材料等。在需要記憶體應用的一個實施例中,基板190可包括矽基板材料、氧化物材料及氮化物材料,在兩種材料之間夾有或不夾有多晶矽。
在另一實施例中,基板190可包括沉積在基板之表面上的複數種交替氧化物與氮化物材料(亦即,氧化物-氮化物-氧化物(oxide-nitride-oxide;ONO))(未圖示)。在各種實施例中,基板190可包括複數種交替氧化物與氮化物材料、一或更多種氧化物或氮化物材料、多晶矽或非晶矽材料、與非晶矽交替的氧化物、與多晶矽交替的氧化物、與摻雜矽交替的無摻雜矽、與摻雜多晶矽交替的無摻雜多晶矽或與摻雜非晶矽交替的無摻雜非晶矽。基板190可為任何基板或材料表面,在此表面上執行膜處理。舉例而言,基板190可為諸如以下之材料:結晶矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或無摻雜多晶矽、摻雜或無摻雜矽晶圓及經圖案化或非圖案化晶圓、絕緣體上矽(silicon on insulator;SOI)、摻碳矽氧化 物、矽氮化物、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、低介電常數介電質及上述之組合。
第2A圖圖示先前已形成有材料層202的基板結構250之橫截面視圖。材料層202可為介電材料,例如氧化層,諸如低介電常數含碳介電層、多孔碳氧化矽低介電常數或超低介電常數介電層。在基板結構250上沉積摻金屬非晶碳層204。可將摻金屬非晶碳層204用作圖案轉印層或用於後續蝕刻製程的硬遮罩。摻金屬非晶碳層204具有優於傳統硬遮罩材料的增強蝕刻選擇性,因此在用作硬遮罩時提供多種優勢。藉由任何適宜沉積處理(諸如經由PECVD)在基板結構250上形成摻金屬非晶碳層204。
如第2B圖所示,可在摻金屬非晶碳層204上方安置遮罩層208。遮罩層208可用於自能量敏感抗蝕材料轉印圖案且保護摻金屬非晶碳層204免於受能量敏感抗蝕劑影響。遮罩層208可為藉由化學氣相沉積所沉積的氧化矽層。
根據本揭示案,可在遮罩層208之沉積前對摻金屬非晶碳層204執行電漿處理。在一個實施例中,可在沉積遮罩層208之前將氬或氫之電漿施加至摻金屬非晶碳層204。不期望受到理論束縛,施加至摻金屬非晶碳層204的電漿可移除摻金屬非晶碳層204之頂表面204a上的氧化-COOH或OH配位基。在沉積遮罩層208之後,可在摻金屬非晶碳層204與遮罩層208之間的界 面之間形成金屬-氧或金屬-矽或碳-氧或碳-矽鍵以改善摻金屬非晶碳層204與此摻金屬非晶碳層上形成的後續層之間的黏著。
如第2C圖所描繪,可在遮罩層208上形成圖案。可藉由光微影技術形成圖案。圖案可包括遮罩層208中的貫穿開口240。
此後,參看第2D圖,使用經圖案化遮罩層208作為遮罩轉印遮罩層208中所界定之圖案穿過摻金屬非晶碳層204。使用適宜化學蝕刻劑選擇性蝕刻遮罩層208上方的摻金屬非晶碳層204及材料層202,從而延伸開口240至材料層202之表面。適宜化學蝕刻劑可包括臭氧、氧或氨電漿。
參看第2E圖,隨後使用摻金屬非晶碳層204作為硬遮罩轉印圖案穿過材料層202。在此製程步驟中,使用蝕刻劑選擇性移除摻金屬非晶碳層204上方的材料層202,諸如乾式蝕刻(亦即,非反應性電漿蝕刻)。在圖案化材料層202之後,可視情況自基板190剝離摻金屬非晶碳層204。
示例性製程
第3圖係描繪根據本揭示案之實施例的用硬遮罩圖案化之方法300的製程流程圖,此硬遮罩諸如第2B圖至第2E圖之摻金屬非晶碳層204。
應注意,第3圖所示步驟之序列不欲限制本文所描述之本揭示案之範疇,因為可在不偏離本揭示案 之基本範疇的情況下添加、删除及/或重新排序一或更多個步驟。
方法300開始於方塊310,其中在基板上方形成摻金屬非晶碳層,在此基板上沉積有材料層或材料堆疊。基板及材料層可為上文關於第2A圖至第2E圖所論述之基板190及材料層202。摻金屬非晶碳層可為上文關於第2A圖至第2E圖所論述之摻金屬非晶碳層204。
可藉由電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)形成摻金屬非晶碳層。在一個實施例中,可藉由使包含含碳前驅物及含金屬前驅物的氣體混合物流動至製程腔室並在處理腔室中產生氣體混合物之電漿以形成含金屬非晶碳層來形成摻金屬非晶碳層。在一個實施例中,氣體混合物可包括還原劑。
可在處理腔室(諸如第1圖之處理腔室)中形成摻金屬非晶碳層。含碳前驅物可自碳前驅物源流入內部處理體積126中,且含金屬前驅物可自金屬源流入內部處理體積126中。含碳前驅物可自氣體分配盤130經由淋噴頭120流入內部處理體積126中。含金屬前驅物可自氣體分配盤130經由淋噴頭120流入內部處理體積126中。
在一個實施例中,在撞擊來自氣體混合物的電漿之前,將含碳前驅物、含金屬前驅物及還原劑引入到處理體積中。可將含碳前驅物引入到處理體積中達較 長時間,諸如約5秒與約30秒之間,例如約15秒,時間可取決於基板之尺寸變化。咸信在含金屬前驅物及還原劑引入之前含碳前驅物之流動提供了處理體積之連續熱及壓力穩定性。儘管流動含碳氣體,但隨後使含金屬前驅物及還原劑流入處理體積中約0.5秒至約5秒,例如約1秒至約2秒。流動時間可變化,只要流動剛好足够久使得含金屬前驅物及還原劑在撞擊RF電漿之前開始到達內部處理體積126。
在另一實施例中,可在將含金屬前驅物及還原劑引入到處理體積中之前產生RF電漿。或者,可切斷RF電漿,同時在將含金屬前驅物及還原劑引入到處理體積中之前使含碳前驅物繼續流入製程體積中。在另一實施例中,可繼續流動含碳前驅物、含金屬前驅物及還原劑,直至達到摻金屬非晶碳層之所欲厚度。
在一個實施例中,可將稀釋氣體及電漿引發氣體添加至包含含碳前驅物及含金屬前驅物的氣體混合物中。可在產生含碳前驅物及含金屬前驅物之電漿之前及/或在產生此電漿的同時將電漿引發氣體引入到處理體積中。
或者,可藉由電漿增強原子層沉積(plasma-enhanced atomic layer deposition;PEALD)製程形成摻金屬非晶碳層。或者,電漿增強熱分解或反應性製程。舉例而言,基板可在處理體積中曝露於含碳前驅物、含金屬前驅物及還原劑之氣體混合物 中,此處理體積維持在適合於氣體混合物之熱分解的高溫下。亦可使用其他沉積製程形成所沉積的摻金屬非晶碳,此等沉積製程諸如金屬有機CVD(metalorganic CVD;MOCVD)製程、蒸發製程或物理氣相沉積(physical vapor deposition;PVD)製程。
在任何情況中,所沉積的摻金屬非晶碳層204係摻有所欲金屬、金屬合金或金屬碳化物的非晶碳膜之合成物。在含金屬前驅物含有過渡金屬(例如,Ti、Zr、Hf、V、Nb、Ta、Cr、Mo或W)的一個實施例中,所沉積的非晶碳層預期包括或將摻有過渡金屬碳化物,此等過渡金屬碳化物具有對應於以下過渡金屬碳化物的化學計量:碳化一鈦(TiC)、碳化一鋯(ZrC)、碳化一鉿(HfC)、碳化一釩(VC)、碳化一鈮(NbC)、碳化一鉭(TaC)、二碳化三鉻(Cr3C2)、碳化二鉬(Mo2C)或碳化一鎢(WC)。取決於所用含金屬前驅物,所沉積的非晶碳層可具有上述特定過渡金屬碳化物中的一或更多者或可為上述碳化物之固溶體碳化物,例如固溶體碳化物可為含有W及Ti的固溶體碳化物,前提是碳化學計量對應於上述過渡金屬碳化物之化學計量(例如,(WO0.5,Ti0.5)C)。在一個實施例中,所沉積的非晶碳層摻有WC。
摻金屬非晶碳層204之厚度可取決於處理階段而變化。在一個實施例中,摻金屬非晶碳層204可具有自約100Å至約20,000Å(諸如約300Å至約5,000 Å)之厚度。在一些實施例中,摻金屬非晶碳層可具有約2000Å至約3000Å之較厚厚度,以使得可在主蝕刻製程期間消耗摻金屬非晶碳層,此主蝕刻製程具有優良的硬遮罩效能(例如,良好CD控制及特徵輪廓),同時具有足够厚的非晶碳層。所得摻金屬非晶碳硬遮罩可用於諸如深氧化物接觸蝕刻、DRAM電容器模製蝕刻及線及/或空間蝕刻之各種應用中。在諸如淺溝槽隔離蝕刻硬遮罩、閘極蝕刻硬遮罩及位元線蝕刻硬遮罩之線及空間蝕刻應用的情況中,摻金屬非晶碳層可具有約400Å至約2,000Å。取決於緻密及隔離區域之蝕刻選擇性,可因此調諧層厚度。
在一個實施例中,含碳前驅物係一或更多種烴化合物之氣態混合物。烴源可包括氣相烴化合物及/或包括液相烴化合物之蒸汽及載氣的氣體混合物。在一個實施例中,烴化合物具有通式CxHy,其中x具有1與10之間的範圍且y具有2與22之間的範圍。適宜烴化合物可包括但不限於:烷烴,諸如丙烷、甲烷、乙烷、丁烷及其異構物異丁烷、戊烷及其異構物異戊烷及新戊烷、己烷及其異構物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷及2,2-二甲基丁烷等;烯烴,諸如乙烯、丙烯、丁烯及其異構物、戊烯及其異構物等;二烯烴,諸如丁二烯、異戊二烯、戊二烯、己二烯等;以及鹵化烯烴,包括一氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等。額外適 宜烴類可包括炔烴,諸如乙炔、丙炔、丁炔、乙烯基乙炔及上述之衍生物。另外,環烴類,諸如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯乙炔(C8H6)、苯酚、甲酚、呋喃、α-松油烯、異丙基甲苯、1,1,3,3-四甲基丁基苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯及第三丁基糠基醚,具有式C3H2及C5H4的化合物,鹵化芳族化合物,包括一氟苯、二氟苯、四氟苯、六氟苯等。亦可使用鹵化烴,諸如四氯化碳(CCl4)、二碘甲烷(CH2I2)、氯氟碳化物(chlorofluorocarbon;CFC)、溴三氯甲烷(BrCCl3)、1,1-二氯乙烯、溴苯或上述之衍生物。
在一些示例性實施例中,將乙炔(C2H2)或丙炔(C3H6)用作含碳前驅物。由於更穩定的中間物物種之形成允許更大表面遷移率,C2H2可為有利的。
在一些實施例中,烴化合物可部分地或完全地摻雜烴化合物之衍生物,包括烴化合物之含氟、含氧、含羥基及含硼衍生物。
在一些實施例中,可經由淋噴頭120之孔128或經由淋噴頭120中所提供的不同氣體通道(未圖示)或製程腔室100之其他適宜氣體分配部件將含金屬前驅物與含碳前驅物一起提供至內部處理體積126中。來自含金屬前驅物的金屬與來自含碳前驅物的一或更多種烴化合物之氣體混合物反應,使得所沉積的非晶碳膜摻有金屬、金屬合金或金屬碳化物。
在一些實施例中,含金屬前驅物可為適合於增強所沉積非晶碳層之硬度及耐磨性的所欲金屬之氣態鹵化物。示例性金屬鹵化物可包括金屬溴化物、金屬氯化物、金屬氟化物、金屬碘化物或上述之任何組合。在一些實施例中,所欲金屬可包括一或更多種過渡金屬。一些示例性過渡金屬可包括但不限於鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、銀(Ag)、金(Au)、鈀(Pd)、鉑(Pt)、銠(Rh)、銥(Ir)、釕(Ru)或鋨(Os)。亦可使用其他適宜金屬,諸如鋁(Al)。作為範例,含金屬前驅物可包括TiCl4、ZrCl4、HfCl4、VCl5、NbCl5、TaCl5、TaF5、CrCl4、WCl5、WCl6、WF6或AlCl3
或者,含金屬前驅物可為金屬有機化合物。示例性金屬有機化合物可包括但不限於金屬二烷基醯胺基化合物及/或金屬環戊二烯基化合物。在一些範例中,可使用雙(環戊二烯基)二甲基鋯及/或雙(環戊二烯基)二甲基鉿沉積摻金屬碳膜。在一些實施例中,含金屬前驅物及含碳前驅物可為使用金屬有機化合物的相同前驅物。在此情況中,含金屬前驅物可為含有金屬與碳兩者的金屬有機化合物。在非晶碳層中需要鎢(W)的實施例中,適宜金屬有機化合物可含有至少鎢及碳。含有鎢及碳的一種示例性金屬有機化合物為鎢羰基化合物。適宜鎢羰基化合物可包括鎢六羰基(W(CO)6)、鎢五羰基化 合物(RW(CO)5)及鎢四羰基化合物(R2W(CO4),其中R為置換一或更多個羰基的一或更多個配位基。在各種範例中,每個R可為烷基異腈基(R1-N=C=),其中每個R1為具有4至8個碳原子的烷基,諸如正丁基、1-乙基丙基、1,2二甲基丙基、異戊基、2-甲基丁基、1-甲基丁基、正戊基、1,3-二甲基丁基、正己基、1-甲基己基及正辛基。在使用金屬有機化合物的情況中,可將上文方塊340中所論述之烴類用作共反應物以控制碳與金屬比率。
可將還原劑以激勵態引入到處理體積中且可原位或遠端產生還原劑。在任一情況中,將還原劑用作共反應物且還原劑能够將生長膜中的金屬(或複數種金屬)還原成較低氧化態,例如還原成元素金屬態,或還原成實質元素金屬態,從而在所沉積之非晶碳層中形成還原金屬。在一些實施例中,還原劑應能夠自生長膜移除鹵化物及/或其他雜質(例如,氧)物種。
適宜還原劑可包括但不限於氫、甲烷、氨、一氧化碳等。在一些實施例中,可在沉積製程之每一沉積循環中提供還原劑,此還原劑與含碳前驅物及含金屬前驅物一起流動。在一些實施例中,可在含金屬前驅物流動之前或之後提供還原劑。在一些實施例中,可在沉積製程期間每隔一段時間將還原劑提供至內部處理體積126中。或者,可在形成摻金屬非晶碳層之後將還原劑引入到內部處理體積126中。亦即,使所沉積的摻金屬 非晶碳層曝露於還原劑中以與沉積膜中的金屬反應並還原金屬。
電漿引發氣體可為高游離電位氣體,此高游離電位氣體包括但不限於氦氣、氫氣、氮氣、氬氣及上述之組合。電漿引發氣體亦可為化學惰性氣體,諸如氦氣、氮氣或氬氣。氣體的適宜游離電位自約5eV(電子電位)至25eV。可在含碳前驅物之前將電漿引發氣體引入到處理腔室中,從而允許形成穩定電漿及減少產生電弧的機率。
惰性氣體通常用作稀釋氣體或載氣以與含碳前驅物一起流動。適宜稀釋氣體可包括氬(Ar)、氦(He)、氫(H2)、氮(N2)、氨(NH3)、稀有氣體(諸如氪、氙)或上述之任何組合。Ar、He及N2可用於控制非晶碳層之密度及沉積速率。在一些情況中,N2及/或NH3或者H2及/或NH3之添加可用於控制所沉積非晶碳層之氫比率(例如,碳比氫比率)。在炔烴(諸如乙炔(C2H2))或烯烴(諸如丙烯)用作烴源的情況中,在沉積期間可不使用載氣。
在方塊320中,可對摻金屬非晶碳層執行電漿處理。在一個實施例中,可在形成摻金屬非晶碳層相同的腔室中執行電漿處理。或者,可在不同電漿製程腔室中執行電漿處理。
根據本揭示案之實施例,可藉由在電漿製程腔室(諸如第1圖之電漿腔室)中安置具有摻金屬非晶碳 層的基板,使處理氣體流動至電漿製程腔室且撞擊電漿製程腔室中的電漿來執行電漿處理。在一個實施例中,處理氣體可為氬(Ar)、氫(H2)、氨(NH3)、氮(N2)或上述之組合。
在電漿處理期間,可將製程腔室維持在約100℃至約500℃之間的溫度。可以約20sccm至約20,000sccm之間的流動速率使處理氣體流動至製程腔室。腔室壓力可介於約0.01托至約50托之間。將RF功率施加至製程腔室中的處理氣體以在製程腔室中產生電漿。在一個實施例中,可提供約50kHz至約13.6MHz之頻率下的約100瓦特與約3,000瓦特之間的RF功率。可執行電漿處理長達約10秒至約600秒之間的持續時間。
在方塊330中,在所處理的摻金屬非晶碳層上方形成遮罩層。遮罩層可類似於第2B圖至第2D圖中的遮罩層208。遮罩層可用於將圖案轉印至摻金屬非晶碳層。可在方塊320之電漿處理後立即形成遮罩層以實現摻金屬非晶碳層與遮罩層之間的改善黏著。在一個實施例中,可在電漿處理後立即執行遮罩層,無需使基板曝露於外部環境中。在一個實施例中,可在相同製程腔室中執行方塊320之電漿處理及方塊330之沉積。或者,可在獨立製程腔室中執行方塊320之電漿處理及方塊330之沉積。舉例而言,可在附接於移送室的兩個製程腔室中執行方塊320之電漿處理及方塊330之沉積。 在第一腔室中的電漿處理後不久,可經由移送室將基板自第一腔室移送至第二腔室以用於遮罩層之沉積。
遮罩層可為氧化矽層、氮化矽層、非晶矽層或可充當摻金屬非晶碳層之蝕刻遮罩的任何適宜材料層。在一個實施例中,遮罩層可為藉由使包含含矽前驅物的第一氣體混合物與含有氧化劑的第二氣體混合物反應形成的氧化矽層。第一氣體混合物可包含四-乙基-正-矽酸酯(tetra-ethyl-ortho-silicate;TEOS)。在一個實施例中,第一氣體混合物可進一步包含載氣,諸如氦(He)及/或氮(N2)。第二氣體混合物可包含臭氧(O3)。視情況,第二氣體混合物可包含氧(O2)。
在遮罩層之沉積期間,可將基板維持在約150℃至約850℃之間的溫度。腔室壓力可介於約1托至約600托之間。在一個實施例中,TEOS之流動速率可介於約500mgm(milligrams/minute;毫克/分鐘)至約1500mgm之間。氦之流動速率可介於約1000sccm至約3000sccm之間。氮之流動速率可介於約1000sccm至約9000sccm之間。氧中的臭氧濃度可為約12.5重量%。臭氧/氧混合物之流動速率可介於約1000sccm至約6000sccm之間。可以約1:1至約1:3範圍內的氦:氮之流量比將氦(He)及氮(N2)提供至沉積室。
在方塊340中,可先在第2C圖所示之遮罩層208上形成圖案,且隨後將圖案轉印至第2D圖所示之摻 金屬非晶碳層204。可在遮罩層上沉積能量敏感抗蝕材料且可使用光微影製程在能量敏感抗蝕材料中形成圖案。可對遮罩層208執行蝕刻製程以在層內形成圖案。可執行另一蝕刻製程以將圖案自遮罩層208轉印至摻金屬非晶碳層204。隨後將移除遮罩層208且可將摻金屬非晶碳層204用作硬遮罩以圖案化下方的材料層或材料堆疊。在使用後,可使用包含過氧化氫及硫酸的溶液移除摻金屬非晶碳層204。包含過氧化氫及硫酸的一種示例性溶液被稱為Piranha溶液或Piranha蝕刻。亦可使用含有氧及鹵素(例如,氟或氯)的蝕刻化學品移除摻金屬非晶碳層204,此等蝕刻化學品例如Cl2/O2、CF4/O2、Cl2/O2/CF4
剝離測試之結果
執行剝離測試以證明本揭示案之實施例改善了摻金屬非晶碳膜與氧化矽膜之間的黏著。
在未使用本揭示案之處理方法下對膜堆疊執行剝離測試1。先在電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)腔室中的基板上沉積摻金屬非晶碳膜。隨後在摻金屬非晶碳層上沉積氧化矽層。自左至右刮擦膜堆疊10次且自上至下刮擦10次以產生大交叉影線圖案。隨後使用潔淨室膠帶覆蓋黏住並隨後剝離交叉影線。剝離測試1之結果指示摻金屬非晶碳層與氧化矽層之間的弱黏著。4點彎曲 測試展示出摻金屬非晶碳層與氧化矽層之間的黏著能量為3.9J/m2
對根據本揭示案形成的膜堆疊執行剝離測試2。先在電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)腔室中的基板上沉積摻金屬非晶碳膜。剛好在摻金屬非晶碳層上沉積氧化矽層之前,用Ar執行電漿處理。自左至右刮擦膜堆疊10次且自上至下刮擦10次以產生大交叉影線圖案。隨後使用潔淨室膠帶覆蓋黏住並隨後剝離交叉影線。在剝離測試2中未觀察到剝離,指示摻金屬非晶碳層與氧化矽層之間的黏著改善。4點彎曲測試展示出摻金屬非晶碳層與氧化矽層之間的黏著能量為7.4J/m2
對類似於剝離測試2之膜堆疊的膜堆疊執行剝離測試3,只不過在摻金屬非晶碳膜之電漿處理兩天後執行氧化矽層。觀察到剝離,指示在電漿處理與氧化物沉積之間形成氧化層或吸附分子。氧化層或吸附分子可引發膜堆疊中的剝離。
對類似於剝離測試2之膜堆疊的膜堆疊執行剝離測試4,只不過在氧化矽層之電漿處理及沉積前兩天形成摻金屬非晶碳膜。未觀察到剝離,進一步證明電漿處理之後立即沉積氧化矽層改善了摻金屬非晶碳膜與氧化矽膜之間的黏著。
儘管上文所述係針對本揭示案之實施例,但是可在不脫離本揭示案之基本範疇的情況下設計出本揭 示案之其他及進一步實施例,且由以下申請專利範圍決定本揭示案之範疇。
300‧‧‧方法
310‧‧‧方塊
320‧‧‧方塊
330‧‧‧方塊
340‧‧‧方塊

Claims (18)

  1. 一種處理基板之方法,該方法包含以下步驟:對形成於一基板上的一摻過渡金屬之非晶碳層進行電漿處理,包含:使一處理氣體流動進入一製程腔室,該處理氣體包含氬、氫、氮、氨、或上述之組合;由該處理氣體形成一處理電漿;及將該摻過渡金屬之非晶碳層曝露至該處理電漿,其中將該摻過渡金屬之非晶碳層曝露至該處理電漿的步驟自該摻過渡金屬之非晶碳層的表面上移除了氧化配位基;以及在經過電漿處理的該摻過渡金屬之非晶碳層上沉積一遮罩層。
  2. 如請求項1所述之方法,其中該遮罩層包含氧化矽、氮化矽、非晶矽、或上述之組合中的一者。
  3. 如請求項1所述之方法,其中該處理氣體係由氬、氫、或上述之組合所構成。
  4. 如請求項1所述之方法,其中對該摻過渡金屬之非晶碳層進行電漿處理該電漿處理之步驟與在該摻過渡金屬之非晶碳層上沉積該遮罩層之步驟係於同一製程腔室中進行。
  5. 如請求項2所述之方法,其中沉積該遮罩層 之步驟包含以下步驟:流動包含一含矽前驅物的一第一氣體混合物;以及流動包含一氧化劑的一第二氣體混合物。
  6. 如請求項5所述之方法,其中該第一氣體混合物包含四-乙基正-矽酸酯(TEOS),且該第二氣體混合物包含臭氧。
  7. 如請求項2所述之方法,進一步包含以下步驟:藉由使一含碳前驅物與一含過渡金屬前驅物反應來形成該摻過渡金屬之非晶碳層。
  8. 如請求項7所述之方法,其中該含過渡金屬前驅物係一過渡金屬之氣態鹵化物。
  9. 如請求項7所述之方法,其中該含過渡金屬前驅物係一過渡金屬有機化合物,該過渡金屬有機化合物包含過渡金屬二烷基醯胺基化合物及/或過渡金屬環戊二烯基化合物。
  10. 如請求項1所述之方法,進一步包含以下步驟:對該遮罩層進行圖案化,以於其中形成複數個開口;以及透過形成於該遮罩層中之該複數個開口,對該摻過渡金屬之非晶碳層進行圖案化。
  11. 一種處理一基板之方法,該方法包含以下 步驟:在該基板之一表面上方形成一摻金屬非晶碳層;使該摻金屬非晶碳層曝露於一處理氣體中;在該摻金屬非晶碳層上方沉積一氧化矽層;在該氧化矽層中形成包含貫穿開口之一界定圖案;使用該氧化矽層作為一遮罩將該界定圖案轉印至該摻金屬非晶碳層;以及使用該摻金屬碳層作為一遮罩將該界定圖案轉印至該摻金屬碳層下方的一下伏層。
  12. 如請求項11所述之方法,進一步包含以下步驟:產生該處理氣體之一電漿以處理該摻金屬非晶碳層。
  13. 如請求項12所述之方法,其中該處理氣體包含氬。
  14. 如請求項12所述之方法,其中該處理氣體包含氬、氫、氮、氨、或上述之組合中的一者。
  15. 如請求項11所述之方法,其中在曝露該摻金屬非晶碳層之步驟後立即執行沉積該氧化矽層之步驟。
  16. 一種在一處理腔室中處理基板之方法,該方法包含以下步驟:使一基板曝露於包含一含金屬前驅物的一第一氣 體混合物中;在該處理腔室中分解該第一氣體混合物以在該基板之一表面上方形成一摻金屬碳層;使該摻金屬非晶碳層曝露於一處理氣體中;由該處理氣體產生一電漿;以及使該摻金屬碳層曝露於一第二氣體混合物中以在該摻金屬碳層上方形成氧化矽層。
  17. 如請求項16所述之方法,其中該含金屬前驅物係一金屬有機化合物,該金屬有機化合物包含金屬二烷基醯胺基化合物及/或金屬環戊二烯基化合物。
  18. 如請求項17所述之方法,其中該第二氣體混合物包含四乙基-正-矽酸酯(TEOS)及臭氧。
TW105111236A 2015-04-22 2016-04-11 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理 TWI743035B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562151140P 2015-04-22 2015-04-22
US62/151,140 2015-04-22

Publications (2)

Publication Number Publication Date
TW201709269A TW201709269A (zh) 2017-03-01
TWI743035B true TWI743035B (zh) 2021-10-21

Family

ID=57147967

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111236A TWI743035B (zh) 2015-04-22 2016-04-11 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理

Country Status (3)

Country Link
US (1) US9865459B2 (zh)
KR (1) KR102656095B1 (zh)
TW (1) TWI743035B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833212B (zh) * 2022-03-31 2024-02-21 南亞科技股份有限公司 硬遮罩結構

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
WO2019022826A1 (en) 2017-07-24 2019-01-31 Applied Materials, Inc. PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11557509B1 (en) 2018-12-21 2023-01-17 Applied Materials, Inc. Self-alignment etching of interconnect layers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020243342A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11658041B2 (en) 2020-05-28 2023-05-23 Applied Materials, Inc. Methods of modifying portions of layer stacks
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230143204A1 (en) * 2021-11-08 2023-05-11 Tokyo Electron Limited Plasma Enhanced Film Formation Method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005024922A1 (en) * 2003-08-29 2005-03-17 Advanced Micro Devices, Inc. A method of forming a teos cap layer at low temperature and reduced deposition rate
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US20120164844A1 (en) * 2010-12-27 2012-06-28 Tokyo Electron Limited Method and apparatus for forming oxide film on carbon film
US20120235067A1 (en) * 2010-11-18 2012-09-20 Araci Ismail Emre Tunable infrared emitter

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336682B2 (ja) * 1992-07-02 2002-10-21 住友電気工業株式会社 硬質炭素膜
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
US6104092A (en) * 1997-04-02 2000-08-15 Nec Corporation Semiconductor device having amorphous carbon fluoride film of low dielectric constant as interlayer insulation material
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
KR100876898B1 (ko) * 2007-08-31 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 하드마스크막 형성 방법
US20090199768A1 (en) 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US20090201722A1 (en) 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US8551578B2 (en) 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
KR101055962B1 (ko) * 2008-05-15 2011-08-11 주성엔지니어링(주) 박막패턴 형성방법
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
KR20100031962A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 카본계막 식각 방법 및 이를 이용한 콘택홀 형성방법
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
SG177021A1 (en) 2010-06-16 2012-01-30 Univ Nanyang Tech Micoelectrode array sensor for detection of heavy metals in aqueous solutions
US8735260B2 (en) * 2010-12-13 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method to prevent metal pad damage in wafer level package
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US8828744B2 (en) * 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
US8722494B1 (en) * 2012-11-01 2014-05-13 International Business Machines Corporation Dual gate finFET devices
SG11201600440VA (en) * 2013-11-06 2016-02-26 Mattson Tech Inc Novel mask removal process strategy for vertical nand device
US20150200094A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
CN105336571B (zh) * 2014-07-28 2018-06-01 中芯国际集成电路制造(上海)有限公司 自对准多重图形掩膜的形成方法
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005024922A1 (en) * 2003-08-29 2005-03-17 Advanced Micro Devices, Inc. A method of forming a teos cap layer at low temperature and reduced deposition rate
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US20120235067A1 (en) * 2010-11-18 2012-09-20 Araci Ismail Emre Tunable infrared emitter
US20120164844A1 (en) * 2010-12-27 2012-06-28 Tokyo Electron Limited Method and apparatus for forming oxide film on carbon film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833212B (zh) * 2022-03-31 2024-02-21 南亞科技股份有限公司 硬遮罩結構

Also Published As

Publication number Publication date
KR20160125912A (ko) 2016-11-01
US20160314960A1 (en) 2016-10-27
US9865459B2 (en) 2018-01-09
TW201709269A (zh) 2017-03-01
KR102656095B1 (ko) 2024-04-08

Similar Documents

Publication Publication Date Title
TWI743035B (zh) 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
TWI657161B (zh) 摻雜金屬的非晶碳膜的沉積
US20230317455A1 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
TWI819388B (zh) 用於硬遮罩及其他圖案化應用的高密度低溫碳膜
TWI716421B (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
JP2012506151A (ja) プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法