TW202026461A - 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統 - Google Patents

選擇性沉積的方法以及用於選擇性沉積的組合工具和系統 Download PDF

Info

Publication number
TW202026461A
TW202026461A TW108135626A TW108135626A TW202026461A TW 202026461 A TW202026461 A TW 202026461A TW 108135626 A TW108135626 A TW 108135626A TW 108135626 A TW108135626 A TW 108135626A TW 202026461 A TW202026461 A TW 202026461A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
selectively
deposition
dielectric
Prior art date
Application number
TW108135626A
Other languages
English (en)
Inventor
強 威廉 梅斯
麥克 吉文斯
蘇維 賀加
瓦蒙西 帕魯齊里
艾翁 拉吉馬克斯
紹仁 鄧
安德莉亞 伊利貝里
艾娃 多益斯
戴芬 隆吉
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202026461A publication Critical patent/TW202026461A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02669Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation inhibiting elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Abstract

本發明提供用於選擇性沉積之方法。材料相對於不同材料組成之第二表面選擇性地沉積於基板之第一表面上。抑制劑,諸如聚醯亞胺層相對於該第二表面自氣相反應物選擇性地形成於該第一表面上。相關層相對於該第一表面自氣相反應物選擇性地沉積於該第二表面上。該第一表面可為金屬性,而該第二表面為介電性。因此,諸如介電性過渡金屬氧化物及氮化物之材料可使用本文所描述之技術相對於介電性表面選擇性地沉積於金屬性表面上。

Description

選擇性保護件以及選擇性沈積
本揭示內容大體上是關於將材料相對於不同材料組成之第二表面選擇性沉積於基板之第一表面上。
半導體製造中逐漸減小之裝置尺寸需要新的創新加工方法。習知地,半導體加工中之圖案化涉及減法製程,其中沉積毯覆層經沉積,藉由光微影技術遮蔽,且經由遮罩中之開口蝕刻。亦知曉加成圖案化,其中在沉積相關材料之前進行遮蔽步驟,諸如使用剝離技術或鑲嵌加工之圖案化。在大多數情況下,應用昂貴之多步驟微影技術進行圖案化。
圖案化可藉由選擇性沉積來簡化,其已於半導體製造商中獲得愈來愈多的利益。選擇性沉積將以各種方式高度有利。值得注意地,其可允許減少微影步驟,從而降低加工成本。選擇性沉積亦可實現於狹窄結構中增進縮放,諸如藉由使自下而上填充(bottom up fill)成為可能。電化學沉積為選擇性沉積之一種形式,正如金屬可選擇性地形成於傳導性元件上。化學氣相沉積(CVD)及原子層沉積(ALD)為表面敏感性技術氣相沉積技術,且因此已經研究作為選擇性沉積之良好候選技術。選擇性ALD是例如於U.S. 6,391,785中提出。
選擇性沉積中之一個挑戰為沉積製程之選擇性通常並非高至足以實現選擇性的目標。表面預處理有時可用於抑制或促進在一或兩個表面上之沉積,但此類處理本身通常需要微影已施行處理或僅保留於待處理之表面上。
因此,需要用於實現選擇性沉積之更實際的製程。
在一個態樣中,提供一種相對於基板之第一表面選擇性沉積於基板之第二表面上的方法,其中第一及第二表面具有不同組成。方法依次包括:相對於第二表面在第一表面上自氣相反應物選擇性地形成抑制劑層;烘烤抑制劑層;及相對於鈍化層在第二表面上自氣相反應物選擇性地沉積相關層。
在一些具體例中,方法額外包括在選擇性地形成抑制劑層之前處理第一及第二表面。在一些具體例中,方法包括其中的處理包括使基板暴露於電漿。在一些具體例中,處理包括使基板暴露於矽烷,諸如烷基胺基矽烷。在一些具體例中,處理包括使基板暴露於N-(三甲基矽烷基)二甲胺(TMSDMA)或三甲基氯矽烷。在一些具體例中,方法更包括在選擇性地形成抑制劑層之後清潔第二表面以移除任何抑制劑。在一些具體例中,方法包括其中的清潔包含用氫電漿處理。在一些具體例中,烘烤包括將基板加熱至約300℃至400℃之溫度。
在一些具體例中,方法更包括在選擇性地沉積相關層之後清潔第一及第二表面。在一些具體例中,清潔包括用氫電漿處理表面。在一些具體例中,方法更包括其中選擇性地形成抑制劑層包括將有機層選擇性地氣相沉積於第一表面上。在一些具體例中,有機層為聚醯亞胺層。
在一些具體例中,相關層藉由原子層沉積製程來選擇性地沉積。在一些具體例中,第一表面包含金屬或金屬性材料及第二表面包含介電性材料。
在一些具體例中,其中相關層包含金屬氧化物。在一些具體例中,金屬氧化物包含氧化鋯、氧化鉿、氧化鋁、氧化鈦、氧化鉭、氧化釔、氧化鑭或其他過渡金屬氧化物或其混合物。在一些具體例中,金屬氧化物包含介電性過渡金屬氧化物。在一些具體例中,金屬氧化物包含氧化鋁。在一些具體例中,氧化鋁使用包含三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙醇鋁(DMAI)或三乙基鋁(TEA)之鋁前驅體來沉積。在一些具體例中,氧化鋁使用包含混配鋁化合物之鋁前驅體來沉積,該混配鋁化合物包含烷基及另一配位體,諸如鹵素,例如Cl。在一些具體例中,氧化鋁使用包含鋁烷基化合物之鋁前驅體來沉積,該鋁烷基化合物包含兩個不同烷基作為配位體。在一些具體例中,鋁化合物使用包含金屬有機鋁化合物或有機金屬鋁化合物之鋁前驅體來沉積。
在一些具體例中,相關層包含金屬氮化物。在一些具體例中,金屬氮化物為氮化鈦。在一些具體例中,氮化鈦藉由氣相沉積製程由TiCl4 及NH3 沉積。
在另一態樣中,提供一種用於相對於基板之第一表面將相關層選擇性沉積於基板之第二表面上的組合工具,其中第一及第二表面具有不同組成。該組合工具包括:第一模組,被配置為用於預處理基板;第二模組,被配置為用於用電漿處理基板;第三模組,被配置為用於相對於基板之第二表面將抑制劑氣相沉積於基板之第一表面上;及第四模組,被配置為用於氣相沉積相關層。
在另一態樣中,提供一種用於相對於基板之第一表面將介電質選擇性沉積於基板之第二表面上的系統。該系統包括:第一腔室,被配置為用於選擇性沉積有機鈍化層及用於部分回蝕有機鈍化層;及第二腔室,被配置為用於相對於基板之第一表面將介電質選擇性沉積於第二表面上。
在另一態樣中,提供一種用於相對於基板之第一表面將相關膜選擇性沉積於基板之第二表面上的系統。該系統包括:第一腔室,被配置為用於預處理基板及用於蝕刻加工;第二腔室,被配置為用於選擇性沉積有機鈍化層;及第三腔室,被配置為用於相對於基板之第一表面將相關膜選擇性沉積於基板之第二表面上。
在一些具體例中,第三腔室更被配置為用於烘烤製程。在一些具體例中,系統更包括被配置為用於烘烤=製程之第四腔室。
揭示相對於第一表面在第二表面上方選擇性沉積材料之方法及裝置,其中第一及第二表面具有材料差異。舉例而言,該等表面中之一者可包括金屬性材料及另一表面可包括無機介電性材料。在本文所描述之具體例中,有機鈍化層相對於第二表面經選擇性地沉積於第一表面上。在一些具體例中,第一表面為金屬性且第二表面為介電性;在其他具體例中,第一表面為介電性且第二表面為金屬性。隨後,相關層相對於有機鈍化層選擇性地沉積於第二表面上。在一些具體例中,相關層可為Al2 O3 層。在一些具體例中,相關層可為TiN層。其他層可相對於有機鈍化層選擇性地沉積於第二表面上方之相關層上。
在一個具體例中,第一表面包含金屬性表面,諸如元素金屬或金屬合金,而第二表面包含無機介電性表面,諸如低k材料。低k材料之實施例包括基於氧化矽之材料,包括生長或沉積之二氧化矽、經摻雜及/或多孔之氧化物、矽上天然氧化物等。聚合物鈍化層相對於無機介電性表面經選擇性地沉積於金屬性表面上。隨後,相關層經選擇性地沉積於無機介電性表面上。相關層可包括金屬元素。相關層之實施例包括介電質,諸如氧化鋯(例如,ZrO2 )、氧化鉿(例如,HfO2 )、氧化鋁(例如,Al2 O3 )、氮化鈦(例如,TiN)及氧化鈦(例如,TiO2 )。提供將此類材料相對於聚合物表面選擇性地沉積於基於氧化矽之表面上的製程。
在一第二具體例中,第一表面包含無機介電性表面,諸如低k材料,而第二表面包含金屬性表面,諸如元素金屬或金屬合金。低k材料之實施例包括基於氧化矽之材料,包括生長或沉積之二氧化矽、經摻雜及/或多孔之氧化物、矽上天然氧化物等。聚合物鈍化層相對於金屬性表面經選擇性地沉積於無機介電性表面上。在沉積聚合物鈍化層之前,金屬性表面可具有鈍化阻擋層,諸如自組裝單層(SAM)。鈍化阻擋層有利於聚合物沉積於無機介電性表面上之選擇性,且可在其之後移除以容許將相關層相對於聚合物鈍化層選擇性沉積於金屬性表面上。相關層可包括金屬元素。相關層之實施例包括金屬層(例如,參見2015年2月17日發佈之美國專利第8,956,971號及2015年8月18日發佈之美國專利第9,112,003號)、金屬氮化物層(例如,氮化鈦)及金屬氧化物層(例如,氧化鋯、氧化鉿、氧化鈦及氧化鋁)。提供將此類材料相對於聚合物表面選擇性地沉積於金屬性表面上的製程。
在一第三具體例中,進行第二具體例之製程以相對於經聚合物鈍化之無機介電性表面將相關層選擇性地提供於金屬性表面上方。之後,另一相關層經選擇性地沉積於該相關層上,同時聚合物使無機介電性表面保持鈍化。舉例而言,相關層可包含金屬層,而另一相關層包含金屬氧化物層(例如,氧化鋯、氧化鉿、氧化鈦)。提供將此類材料相對於聚合物表面選擇性地沉積於金屬性表面上的製程。
聚合物鈍化層可在將相關層選擇性沉積於第二表面上方之後自第一表面移除。舉例而言,氧化製程可選擇性地移除聚合物材料。選擇條件以避免損壞基板上之周圍材料。
亦提供用於相對於基板上之其他特徵(諸如在下伏金屬性與介電性表面之間的邊界)控制經選擇性沉積層之邊緣輪廓及邊緣位置之具體例。因此,無需昂貴之微影圖案化來對選擇性層邊緣之相對定位提供控制。實施例說明針對此類控制之應用,包括使選擇性層與其上之沉積經最小化之材料重疊的實施例;選擇性層與其上之沉積經最小化之材料形成有間隔該層的間隙的實施例;及選擇性層之邊緣與兩種不同下伏材料之間的邊界對齊的實施例。基板表面
根據本揭示內容之一些態樣,可使用選擇性沉積來將相關膜相對於第一表面沉積於第二表面上。兩個表面可具有不同材料性質以容許其上選擇性形成有機材料,諸如使聚合物層相對於第二表面選擇性沉積於第一表面上,其繼而容許後續將相關層相對於有機鈍化之第一層選擇性沉積於第二表面上。
舉例而言,在本文中所描述之具體例中,表面中之一者可為基板之傳導性(例如,金屬或金屬性)表面,而另一表面可為基板之非傳導性(例如,無機介電性)表面。在一些具體例中,非傳導性表面包含-OH基團,諸如基於氧化矽之表面(例如,低k材料,包括生長及沉積之氧化矽材料及矽上天然氧化物)。在一些具體例中,非傳導性表面可另外包含-H終端,諸如經HF浸漬之Si或經HF浸漬之Ge表面。在此類具體例中,相關表面將視為包含-H終端及在-H終端下方之材料兩者。
針對上述實施例中之任一者,兩個表面之間的材料差異使得氣相沉積方法可將有機鈍化層相對於第二表面選擇性地沉積於第一表面上。在一些具體例中,使用循環氣相沉積,例如,使用循環CVD或原子層沉積(ALD)製程。在一些具體例中,可實現針對有機鈍化層之選擇性,而不用表面上之鈍化/阻擋劑以接收較少有機層;及/或不用表面上之催化劑以接收較多有機層。舉例而言,在第一表面為金屬性且第二表面為介電質之具體例中,聚合物可相對於無機介電性表面直接選擇性地沉積於金屬性表面上。在第一表面為介電性且第二表面為金屬性之其他具體例中,首先處理第二表面以抑制其上之聚合物沉積。舉例而言,可首先將鈍化阻擋自組裝單層(SAM)相對形成於金屬性表面上,從而有利於聚合物鈍化層相對於經SAM覆蓋之第二金屬性表面選擇性沉積於介電性表面(諸如無機介電性表面)上。在完成有機鈍化之選擇性沉積之後,可相對於經鈍化之第一表面在未經鈍化之第二表面上進行相關材料(諸如金屬氧化物或金屬層)之進一步選擇性沉積。
對於一個表面包含金屬而另一表面不包含之具體例,除非另外指示,否則若在本文中將一表面稱為金屬表面,則其可為金屬表面或金屬性表面。在一些具體例中,金屬或金屬性表面可包含金屬、金屬氧化物及/或其混合物。在一些具體例中,金屬或金屬性表面可包含表面氧化。在一些具體例中,金屬或金屬性表面之金屬或金屬性材料在存在或不存在表面氧化之情況下具有導電性。在一些具體例中,金屬或金屬性表面包含一或多種過渡金屬。在一些具體例中,金屬或金屬性表面包含Al、Cu、Co、Ni、W、Nb、Fe或Mo中之一或多者。在一些具體例中,金屬性表面包含氮化鈦。在一些具體例中,金屬或金屬性表面包含一或多種貴金屬,諸如Ru。在一些具體例中,金屬或金屬性表面包含傳導性金屬氧化物、氮化物、碳化物、硼化物或其組合。舉例而言,金屬或金屬性表面可包含RuOx 、NbCx 、NbBx 、NiOx 、CoOx 、NbOx 、MoOx 、WOx 、WNCx 、TaN或TiN中之一或多者。
一些具體例中,金屬或金屬性表面包含鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)。在一些具體例中,金屬或金屬性表面可為任何可視具體例而定接受如本文所描述之有機鈍化層或相關層之選擇性沉積製程中所使用之第一或第二前驅體或與其配位之表面。
在一些具體例中,有機鈍化材料(諸如聚醯亞胺)經選擇性地沉積於金屬表面(諸如Co、Cu、W或Mo表面)上。在一些具體例中,有機鈍化材料在金屬表面上之選擇性沉積以約0.5埃/循環至約20埃/循環、約1埃/循環至約15埃/循環、約1.5埃/循環至約10埃/循環或約2埃/循環至約8埃/循環之生長速率發生。在一些具體例中,有機鈍化材料在金屬表面上之生長速率大於約0.5埃/循環、大於約1埃/循環、大於約3埃/循環、大於約5埃/循環,而在一些具體例中,在上部末端上之生長速率小於約20埃/循環、小於約15埃/循環、小於約10埃/循環或小於約8埃循環。在一些具體例中,金屬表面相對於第二表面之選擇性保持在此等生長速率。
在一些具體例中,將有機鈍化材料相對於其他表面選擇性地沉積於金屬氧化物表面上。金屬氧化物表面可為例如WOx 、TiOx 表面。在一些具體例中,金屬氧化物表面為金屬性材料之氧化表面。在一些具體例中,金屬氧化物表面是藉由使用氧化合物(諸如包含O3 、H2 O、H2 O2 、O2 、氧原子、電漿或自由基之化合物或其混合物)氧化金屬材料之至少該表面來產生。在一些具體例中,金屬氧化物表面為形成於金屬性材料上之天然氧化物。
在一些具體例中,第二表面可包含其上包括鈍化阻擋層之金屬表面。亦即,在一些具體例中,第二表面可包含金屬表面,該金屬表面包含抑制鈍化層沉積於其上之材料,例如自組裝單層(SAM)。
在一些具體例中,將有機鈍化材料相對於第二介電性表面選擇性地沉積於第一金屬氧化物表面(其為金屬性材料之氧化表面)上。
在一些具體例中,第一及第二表面中之一者為基板之金屬或金屬性表面且另一表面為基板之介電性表面。術語介電性在本文描述中用於簡單地與另一表面(即金屬或金屬性表面)相區分。熟悉技藝人士應理解,並非所有非傳導性表面皆為介電性表面,且反之,並非所有金屬性表面皆具傳導性。舉例而言,金屬或金屬性表面可包含非導電性或具有相當高電阻率之經氧化金屬表面。本文中教示之選擇性沉積製程可在於經鈍化之介電性表面上具有最少沉積之情況下沉積於此類非傳導性金屬性表面上,且類似地,選擇性沉積製程可在於經鈍化之非傳導性金屬性表面上具有最少沉積之情況下沉積於介電性表面上。
在一些具體例中,基板可在選擇性沉積製程之前或在其開始時經預處理或清潔。在一些具體例中,可在選擇性沉積製程之前或在其開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。舉例而言,在一些具體例中,可在選擇性鈍化層沉積製程之前或在其開始時使基板表面暴露於電漿、自由基、受激發物種及/或原子物種。在一些具體例中,可在選擇性鈍化層沉積製程之前或在其開始時使基板表面暴露於氫電漿、自由基或原子物種。
在一些具體例中,進行非電漿預處理製程。舉例而言,在一些具體例中,基板表面可暴露於矽反應物,諸如N-(三甲基矽烷基)二甲胺(TMSDMA)或三甲基氯矽烷。反應物可以單一長脈衝,或以多個短脈衝順序提供。在一些具體例中,反應物以約1至約60秒之1至25個脈衝提供。在脈衝之間,反應腔室可用惰性氣體吹掃。吹掃可例如為約1至30秒。
在一些具體例中,使表面與具有式(RI )3 Si(NRII RIII )之烷基胺基矽烷接觸,其中RI 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,RII 為直鏈或支鏈C1-C5烷基、直鏈或支鏈C1-C4烷基或氫,且RIII 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基。
在一些具體例中,使表面與具有通式(RI )3 SiA之矽烷接觸,其中RI 為直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,且A為可與含矽表面反應之任何配位體。亦即,矽烷經由配位體A與表面鍵結,或配位體A與表面形成鍵結,但隨後配位體A可轉移遠離表面及/或矽烷。
預處理製程之溫度可例如為約100至約300℃。在預處理製程期間之壓力可例如為約10-5 至約760托,或在一些具體例中,約1至10托或約0.1至約10托。在一些具體例中,預處理或清潔製程可原位進行,亦即在與選擇性沉積製程相同之反應腔室中進行。然而,在一些具體例中,預處理或清潔製程可在獨立反應腔室中進行。在一些具體例中,進行預處理製程之反應腔室為組合工具之一部分,包括一或多個額外反應腔室。舉例而言,此類組合工具可包括用於沉積抑制劑、蝕刻及/或沉積相關膜之額外反應腔室。在一些具體例中,組合工具包括用於預處理、抑制劑沉積、抑制劑沉積後之電漿清潔(蝕刻)、相關層之沉積及沉積後之電漿清潔之獨立模組。在一些具體例中,相同模組可用於兩個或多於兩個製程。舉例而言,相同模組可用於預處理、在抑制劑沉積之後及在相關層沉積之後的電漿清潔。在一些具體例中,組合工具包含第一預處理模組、電漿清潔模組、抑制劑沉積模組及用於沉積相關層之模組。選擇性
熟習技藝人士將瞭解選擇性沉積可為完全選擇性或部分選擇性。部分選擇性製程可藉由自表面B上方移除所有沉積材料而不自表面A上方移除所有沉積材料之沉積後蝕刻來產生完全選擇性層。由於簡單回蝕製程可無需昂貴之遮蔽製程而留下完全選擇性結構,因此選擇性沉積不必須具有完全選擇性以獲得合乎期望之效益。
相對於表面B沉積於表面A上之選擇性可以藉由[(表面A上之沉積)-(表面B上之沉積)]/(表面A上之沉積)所計算的百分比形式給出。沉積可以多種方式中之任一者加以量測。舉例而言,沉積可以沉積材料之厚度量測值形式給出,或可以沉積材料之量的量測值形式給出。在本文所描述之具體例中,可相對於第二表面(B)在第一表面(A)上進行有機鈍化層之選擇性沉積。隨後,相關層相對於第一表面上方之有機鈍化層(B)選擇性地沉積於第二表面(A)上。
在一些具體例中,在第一表面上(相對於第二表面)選擇性沉積鈍化層之選擇性及/或相關層在第二表面上(相對於第一表面上之鈍化層)之選擇性大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。在本文所描述之具體例中,用於有機鈍化層沉積之選擇性可隨沉積之持續時間或厚度改變。出人意料地,已發現選擇性隨本文所描述之氣相聚合物層沉積之沉積持續時間而增加。相對地,基於不同表面上之差別成核之典型選擇性沉積傾向於隨較大之沉積持續時間或厚度而變為較低選擇性。
在一些具體例中,沉積僅發生在第一表面上且並不發生在第二表面上。在一些具體例中,相對於基板之表面B沉積於基板之表面A上具有至少約80%選擇性,其對於一些特定應用可具有足夠選擇性。在一些具體例中,相對於基板之表面B沉積於基板之表面A上具有至少約50%選擇性,其對於一些特定應用可具有足夠選擇性。在一些具體例中,相對於基板之表面B沉積於基板之表面A上具有至少約10%選擇性,其對於一些特定應用可具有足夠選擇性。熟習技藝人士將瞭解部分選擇性製程可藉由自表面B上方移除所有沉積材料而不自表面A上方移除所有沉積材料之沉積後蝕刻來產生完全選擇性結構層。此外,沉積後蝕刻亦可輔助調整選擇性沉積層之位置及/或輪廓,如將自以下圖17至圖23B之說明更加瞭解。
在一些具體例中,沉積於基板之第一表面上之有機層可具有小於約50 nm、小於約20 nm、小於約10 nm、小於約5 nm、小於約3 nm、小於約2 nm或小於約1 nm之厚度,而相對於基板之第二表面沉積於基板之第一表面上之材料比率可大於或等於約200:1、大於或等於約100:1、大於或等於約50:1、大於或等於約25:1、大於或等於約20:1、大於或等於約15:1、大於或等於約10:1、大於或等於約5:1、大於或等於約3:1、或大於或等於約2:1。
在一些具體例中,本文所描述之選擇性沉積製程之選擇性可取決於界定基板之第一及/或第二表面之材料的材料組成。舉例而言,在一些具體例中,當第一表面包含經BTA鈍化之Cu表面及第二表面包含天然或化學二氧化矽表面時,選擇性可大於約8:1或大於約15:1。在一些具體例中,當第一表面包含金屬或金屬氧化物且第二表面包含天然或化學二氧化矽表面時,選擇性可大於約5:1或大於約10:1。介電質上之選擇性沉積
圖1A至圖1D示意性地繪示相對於第二表面選擇性鈍化第一表面,隨後相對於經鈍化之第一表面選擇性沉積於第二表面上的第一具體例。在所繪示之具體例中,第一表面包含金屬性材料;第二表面包含無機介電性材料;且沉積於第二表面上之相關材料包含介電性材料。
圖1A繪示具有材料上不同之暴露表面的基板。舉例而言,第一表面可包含諸如鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)之金屬或由其界定。第二表面可包含無機介電質,諸如低k層(通常為基於氧化矽之層)或其上形成有天然氧化物(亦為一種形式之氧化矽)之矽表面或由其界定。
圖1B顯示在將鈍化層選擇性沉積於第一表面上之後的圖1A之基板。舉例而言,鈍化層可為選擇性地沉積於第一層之金屬性表面上之聚合物層。藉由氣相沉積技術選擇性沉積聚合物層之方法揭示於2016年6月1日遞交之美國專利申請案第15/170,769號中,出於所有目的將其全部揭示內容以引用之方式併入本文中。以下提供充當鈍化層之聚合物層之選擇性沉積之進一步資訊及實施例。
在一些具體例中,經選擇性沉積之聚合物為聚醯亞胺。在一些具體例中,經沉積之聚合物為聚醯胺。經沉積聚合物之其他實施例包括二聚體、三聚體、聚脲層、聚噻吩聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、上述材料之其他聚合形式或混合物。氣相沉積有機材料包括聚醯胺酸,其可為聚合物形成之前驅體。選擇性沉積層可為包括聚合物及聚醯胺酸之混合物,其出於本揭示案之目的將視為聚合物。
在一些具體例中,諸如聚醯亞胺之聚合物在第一含金屬表面(諸如Cu表面)上之選擇性沉積以約0.5埃/循環至約20埃/循環、約1埃/循環至約15埃/循環、約1.5埃/循環至約10埃/循環或約2埃/循環至約8埃/循環之生長速率發生。在一些具體例中,諸如聚醯亞胺之聚合物在第一含金屬表面(諸如Cu)上之生長速率大於約0.5埃/循環、大於約1埃/循環、大於約3埃/循環、大於約5埃/循環,而在一些具體例中,在上部末端上之生長速率小於約20埃/循環、小於約15埃/循環、小於約10埃/循環或小於約8埃/循環。在一些具體例中,選擇性保持在此等生長速率。
在一些具體例中,聚醯亞胺在Cu表面上之選擇性沉積以約0.5埃/循環至約20埃/循環、約1埃/循環至約15埃/循環、約1.5埃/循環至約10埃/循環或約2埃/循環至約8埃/循環之生長速率發生。在一些具體例中,聚醯亞胺在Cu表面上之生長速率大於約0.5埃/循環、大於約1埃/循環、大於約3埃/循環、大於約5埃/循環,而在一些具體例中,在上部末端上之生長速率小於約20埃/循環、小於約15埃/循環、小於約10埃/循環或小於約8埃/循環。在一些具體例中,選擇性保持在此等生長速率。
如上文所指出,沉積於第二表面(在此實施例中為無機介電性表面)上之任何有機材料可藉由回蝕製程移除。在一些具體例中,在選擇性沉積有機層之後的蝕刻製程可自基板之第一表面及第二表面兩者移除經沉積之有機材料。在一些具體例中,蝕刻製程可為各向同性。
在一些具體例中,蝕刻製程可自第一及第二表面移除相同量或厚度之材料。亦即,在一些具體例中,沉積於第一表面上之有機材料之蝕刻速率可實質上類似於沉積於第二表面上之有機材料之蝕刻速率。歸因於本文所描述之沉積製程之選擇性本質,沉積於基板之第二表面上之有機材料的量實質上小於沉積於基板之第一表面上之材料的量。因此,蝕刻製程可自基板之第二表面完全地移除經沉積之有機材料,同時經沉積之有機材料可保留於基板之第一表面上。用於蝕刻聚合物之適合製程參照圖1D描述於下文中。
圖1C顯示在將相關層X相對於第一表面(在此實施例中為金屬性表面)上之鈍化層選擇性沉積於第二表面(在此實施例中為無機介電性表面)上之後的圖1B之基板。相關層X可為介電性材料,諸如金屬氧化物(諸如氧化鋯、氧化鉿、氧化鋁、氧化鈦、氧化鉭、氧化釔、氧化鑭)或其他過渡金屬氧化物或其混合物。在一些具體例中,金屬氧化物為介電性過渡金屬氧化物或介電性過渡金屬氧化物之混合物。在一些具體例中,相關層X可為金屬氮化物,諸如氮化鈦。採用疏水性前驅體以有助於相對於有機鈍化層之選擇性的藉由氣相沉積技術選擇性地沉積此類金屬氧化物層之方法揭示於2016年5月5日遞交之美國臨時專利申請案第62/332,396號中,出於所有目的將其全部揭示內容以引用之方式併入本文中。下文提供關於金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實施例。
如上文所指出,沉積於第一表面上方之鈍化層上之任何X材料可藉由回蝕製程移除。由於相關層經選擇性地沉積於第二表面上,因此留在鈍化表面上之任何X材料皆將比形成於金屬性表面上之鈍化層更薄。因此,可控制回蝕製程以移除鈍化層上方之所有X材料,而不自介電性表面上方移除所有相關層。以此方式重複地選擇性沉積及回蝕可導致X材料於介電質上之厚度隨沉積及蝕刻之每一循環而增加。以此方式重複地選擇性沉積及回蝕亦可導致X材料於介電質上之總體選擇性增加,因為沉積及蝕刻之各循環留下清潔鈍化層,選擇性X沉積不良地成核於該清潔鈍化層上方。或者,可在隨後移除鈍化層期間移除任何X材料,其實施例條件參照以下圖1D以剝離製程描述。如技藝中所已知,剝離製程藉由利用移除下伏材料進行底切來移除上覆材料。在短暫選擇性沉積製程中形成於鈍化層上之任何X材料皆傾向於為非連續的,從而容許蝕刻劑到達待移除之下伏材料。剝離蝕刻不需要完全地移除鈍化層以自鈍化層表面移除所有不合期望之X材料,以使得可使用直接蝕刻或剝離方法來於循環選擇性沉積及移除中自鈍化層表面移除X材料。
圖1D顯示在自第一表面移除鈍化層之後的圖1C之基板。在一些具體例中,蝕刻製程可包含使基板暴露於電漿中。在一些具體例中,電漿可包含氧原子、氧自由基、氧電漿或其組合。在一些具體例中,電漿可包含氫原子、氫自由基、氫電漿或其組合(參見例如實施例2關於以下鈍化層之選擇性沉積)。在一些具體例中,電漿亦可包含稀有氣體物種,例如Ar或He物種。在一些具體例中,電漿可基本上由稀有氣體物種組成。在一些情況下,電漿可包含其他物種,例如氮原子、氮自由基、氮電漿或其組合。在一些具體例中,蝕刻製程可包含使基板暴露於包含氧之蝕刻劑,例如O3 。在一些具體例中,基板可在約30℃與約500℃之間或在約100℃與約400℃之間的溫度下暴露於蝕刻劑。在一些具體例中,蝕刻劑可以一個連續脈衝供應或可以多個較短脈衝供應。如上文所指出,可使用鈍化層移除以在循環選擇性沉積及移除中以完全移除鈍化層或以部分移除鈍化層自鈍化層上方剝離任何剩餘之X材料。
如上文所指出,在一些具體例中,可在蝕刻製程中使用O3 (例如O3 /N2 )來移除有機鈍化層。在一些具體例中,蝕刻製程可在約20℃處至約500℃之基板溫度下進行。在一些具體例中,蝕刻製程可在約50℃至約300° C之基板溫度下進行。在一些具體例中,蝕刻製程可在約100℃至約250℃之基板溫度下進行。在一些具體例中,蝕刻製程可在約125℃至約200℃之基板溫度下進行。在一些具體例中,蝕刻製程可以約0.05 nm/min至約50.0 nm/min之速率進行。在一些具體例中,蝕刻製程可以約0.1 nm/min至約5.0 nm/min之速率進行。在一些具體例中,蝕刻製程可以約0.2 nm/min至約2.5 nm/min之速率進行。在一些具體例中,針對單晶圓或小批量(例如,5個晶圓或更少)加工,可使用低O3 濃度蝕刻製程,其中低O3 濃度蝕刻製程是在0.01托至200托,或約0.1托至100托(例如2 托)下進行。蝕刻劑脈衝可在0.01 sec與20秒之間、在0.05 sec與10 sec之間,或在0.1 sec與2秒之間(例如,0.5 sec脈衝/0.5 sec O3 吹掃)。O3 流可在0.01 slm至1 slm或0.01 slm至0.250 slm之範圍內。惰性(例如,N2 )載氣流量可在0.1 slm至20 slm或0.5 slm至5 slm (例如,1.2 slm)之範圍內。在一些具體例中,可使用高O3 濃度蝕刻製程,其中高O3 濃度蝕刻製程是在1-100托或5-20托(例如,9托)下進行,每個循環具有較長暴露。舉例而言,O3 暴露時間可在0.1 sec與20 s之間,或在0.5 sec與5秒之間(例如,1 sec脈衝/1 sec O3 吹掃)。用於此類高O3 濃度製程之O3 流量可在0.1 slm與2.0 slm之間,或在0.5 slm與1.5 slm之間(例如,750 sccm),其中惰性(例如,N2 )稀釋流為0.1 slm至20 slm或0.5 slm至5 slm (例如,1.2 slm)。
在一些具體例中,烘烤步驟可在蝕刻之後進行。烘烤可在與沉積有機材料相同之反應器中,與蝕刻製程相同之反應器中,與隨後沉積相關層相同之反應器中進行,或可在與製程之彼等態樣中之一或多者分開之反應器中進行。在一些具體例中,烘烤過程在作為組合工具之一部分的反應腔室中進行,且基板經移動至組合工具之一或多個不同反應腔室,以用於烘烤之後的額外處理。
在一些具體例中,基板烘烤約1至約15分鐘之時間段。在一些具體例中,基板在約200至約500℃之溫度下烘烤。在一些具體例中,烘烤步驟包含兩個或多於兩個步驟,其中基板在第一溫度下烘烤第一時間段且隨後在第二溫度下烘烤第二時間段。
可在前述製程之前、之後或之間進行諸如熱或化學處理的額外處理。舉例而言,處理可改變表面或移除在製程之各個階段中暴露的金屬、氧化矽、聚合物鈍化及金屬氧化物表面之部分。在一些具體例中,可在選擇性沉積製程之前或在其開始時預處理或清潔基板。在一些具體例中,可在選擇性沉積製程之前或在其開始時使基板經歷電漿清潔製程。在一些具體例中,電漿清潔製程可不包括離子轟擊,或可包括相對少量之離子轟擊。舉例而言,在一些具體例中,可在選擇性沉積製程之前或在其開始時使基板表面暴露於電漿、自由基、受激發物種及/或原子物種中。在一些具體例中,可在選擇性沉積製程之前或在其開始時使基板表面暴露於氫電漿、自由基或原子物種中。在一些具體例中,可在與選擇性沉積製程相同之反應腔室中進行預處理或清潔製程,然而,在一些具體例中,可在獨立的反應腔室中進行預處理或清潔製程。金屬上之選擇性沉積
圖2A至圖2E示意性地繪示相對於第二表面選擇性鈍化第一表面,隨後相對於經鈍化之第一表面選擇性沉積於第二表面上的第二具體例。在所繪示之具體例中,第一表面包含無機介電性材料;第二表面包含金屬性表面;且沉積於第二表面上之相關材料包含介電性材料或金屬。
圖2A繪示與圖1A類似之基板,其具有材料上不同之表面。然而,針對此具體例,表面是以相反之術語來描述。特定而言,第二表面可包含金屬性材料,諸如鈷(Co)、銅(Cu)、鎢(W)或鉬(Mo)或由其界定。第一表面可包含無機介電質,諸如低k層(通常為基於氧化矽之層)或其上形成有天然氧化物(亦為一種形式之氧化矽)之矽表面。將鈍化阻擋層形成於第二表面上方。應注意術語「阻擋」並不意謂指隨後鈍化層之選擇性沉積完全地經鈍化阻擋層所阻擋。反之,第二表面上方之鈍化阻擋層僅需要抑制鈍化層之沉積,以具有相對於第一表面上方之生長速率較低的生長速率。
在一個具體例中,鈍化阻擋層包含自組裝單層(SAM)。SAM可選擇性地形成於第二(金屬性)表面上方,而不形成於第一(介電性)表面上。有利地,已發現含硫SAM可有效於使鈍化層於其上之沉積最小化。
圖2B顯示相對於第二表面上方之鈍化阻擋層,鈍化層(例如,有機鈍化層)於第一表面(在此情況下為無機介電層)上方之選擇性形成。如於上文併入之2016年6月1日遞交之專利申請案第15/170,769號中所述,其中描述之氣相沉積製程能夠於無機介電質上沉積聚合物,且甚至可於不同類型之氧化矽上方選擇性地(亦即,以不同的沉積速率)沉積。在本具體例中,含硫SAM抑制聚合物沉積於其上,使得聚合物可選擇性地形成於第一表面上方,且可充當抵抗隨後沉積之鈍化層。
圖2C顯示在自第二表面上方移除鈍化阻擋層之後的圖2B之基板。舉例而言,含硫SAM材料可藉由在溫度低於將移除聚合物層(如聚醯亞胺)之彼等溫度下之熱處理來移除。因此,鈍化層選擇性地保留於第一表面上方,同時暴露第二表面。該結構類似於圖1B之結構,除了在此具體例中第一鈍化表面為無機介電質,及第二表面為金屬性表面之外。
圖2D顯示在將相關層X相對於第一表面上方之鈍化層選擇性地沉積於第二表面上之後的圖2C之基板。如參照第一具體例所述,及描述於上文併入之2016年5月5日遞交之臨時專利申請案第62/332,396號中,金屬氧化物可使用氣相沉積技術及疏水性前驅體選擇性地沉積,以有助於相對於有機鈍化層於多個不同表面上之選擇性。下文提供關於金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實施例。
或者,相關層X為金屬層。2015年2月17日發佈之美國專利第8,956,971號及2015年8月18日發佈之美國專利第9,112,003號(出於所有目的其全部揭示內容以引用之方式併入本文中)教示將金屬性材料相對於其他材料表面(包括有機表面)選擇性沉積於金屬性表面上之製程。
圖2E顯示在自第一表面移除鈍化層,從而於金屬或金屬/金屬上留下選擇性形成之介電質之後的圖2D之基板。鈍化層可如上文參照第一具體例所描述來移除,諸如藉由O3 蝕刻。
圖3A至圖3B繪示相對於第二表面選擇性鈍化第一表面,隨後相對於經鈍化之第一表面選擇性沉積於第二表面上的第三具體例。在所繪示之具體例中,首先進行圖2A至圖2D之製程。
圖3A顯示在另一選擇性沉積之後的圖2D之基板。在相關層X為金屬性材料之情況下,另一選擇性沉積可相對於有機鈍化層於第一相關層上方選擇性地形成作為第二相關層Y之介電性材料。如上文參照第一及第二具體例所述,及描述於上文併入之2016年5月5日遞交之臨時專利申請案第62/332,396號中,金屬氧化物可使用氣相沉積技術及疏水性前驅體選擇性地沉積,以有助於相對於有機鈍化層於多個不同表面上之選擇性。下文提供關於金屬氧化物及其他相關層之選擇性沉積的進一步資訊及實施例。
圖3B顯示在自第一表面移除鈍化層,從而於金屬上留下選擇性形成之介電質之後的圖3A之基板。鈍化層可如上文參照第一具體例所描述來移除,諸如藉由O3 蝕刻。
第二及第三具體例,如同第一具體例,可涉及在前述製程之前、之後或之間進行的額外處理,諸如熱或化學處理。鈍化層之選擇性沉積
如併入之2016年6月1日遞交之美國專利申請案第15/170,769號中所揭示,可對諸如聚醯亞胺層、聚醯胺層、聚脲層、聚胺基甲酸酯層、聚噻吩層等等之有機鈍化層及聚合物應用氣相沉積技術。聚合物層之CVD可相較於液態前驅物之應用產生較大的厚度控制、機械可撓性、等形覆蓋及生物相容性。聚合物之依序沉積加工可在小型研究規模反應器中產生高生長速率。類似於CVD,依序沉積製程可產生較大之厚度控制、機械可撓性及保形性。術語「依序沉積」以及「循環沉積」在本文中用以應用於基板交替或依序地暴露於不同前驅體之製程,無論反應機制是否相似於ALD、CVD、MLD或其混合體。
參照圖4A及在一些具體例中,在方塊11處提供包含第一表面及第二表面之基板。第一及第二表面可如本文中所論述具有不同材料特性。在一些具體例中,第一表面可為傳導性表面,例如金屬或金屬性表面,且第二表面可為介電性表面(參見,例如,圖1A至圖1D)。在一些具體例中,第一表面可為介電性表面且第二表面可為第二個不同之介電性表面。在一些具體例中,第一表面可為介電性表面,例如基於氧化矽之材料,且第二表面可為鈍化阻擋材料,諸如SAM (參見,例如,圖2A至圖3B)。
在一些具體例中,第一前驅體可在第一溫度下汽化以形成第一氣相前驅體。在一些具體例中,第一前驅體蒸氣在第二溫度下經由氣體管線輸送至基板。在一些具體例中,第二輸送溫度高於第一汽化溫度。在一些具體例中,在方塊12處,使基板與第一氣相前驅體或反應物接觸持續第一暴露期間。在一些具體例中,基板可在高於第一溫度之第三溫度下與第一氣相前驅體接觸。
在一些具體例中,第一前驅體暴露時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳暴露時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之暴露時間段。
在一些具體例中,在方塊13處,使基板與第二氣相前驅體或反應物接觸持續第二暴露時間段。在一些具體例中,第二前驅體可在第四溫度下汽化以形成第二氣相前驅體。在一些具體例中,第二反應物蒸氣在第二溫度下經由氣體管線輸送至基板。在一些具體例中,第五輸送溫度高於第一汽化溫度。在一些具體例中,基板可在高於第四溫度之第六溫度下與第二氣相前驅體接觸。在一些具體例中,第六溫度可與第一氣相前驅體接觸基板之第三溫度實質上相同。
在一些具體例中,第二前驅體暴露時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳暴露時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之暴露時間段。
在方塊14中,有機層相對於第二表面選擇性地沉積於第一表面上。熟習技藝人士將瞭解有機層之選擇性沉積為上述接觸行動12-13之結果,而非個別之行動。在一些具體例中,可將上述接觸行動(方塊12-13)視為沉積循環。可重複此種選擇性沉積循環,直至於基板上留下足夠厚度之層為止(方塊15),且結束沉積(方塊16)。選擇性沉積循環可包括額外行動,不需要在各重複中以相同順序及相同地進行,且可容易地擴展至更複雜之氣相沉積技術。舉例而言,選擇性沉積循環可包括額外之反應物供應過程,諸如在各循環中或在選定循環中供應及移除(相對於基板)額外反應物。儘管未顯示,但製程可另外包含處理沉積層以形成聚合物(例如,UV處理、退火等)。經選擇性形成之有機層可充當鈍化層以抑制於其上之沉積,且如上所述,增加在相關層之隨後選擇性沉積中之選擇性。
參照圖4B,在一些具體例中,圖4A之氣相沉積製程可包含原子層沉積製程。在方塊21處提供包含第一表面及第二表面之基板。第一及第二表面可具有不同材料特性。在一些具體例中,第一表面可為傳導性表面,例如金屬或金屬性表面,且第二表面可為介電性表面(參見,例如,圖1A至圖1D)。在一些具體例中,第一表面可為介電性表面且第二表面可為第二個不同之介電性表面。在一些具體例中,第一表面可為介電性表面,例如基於氧化矽之材料,且第二表面可為鈍化阻擋材料,諸如SAM (參見,例如,圖2A至圖3B)。
在一些具體例中,用於有機鈍化層之選擇性氣相沉積的依序沉積方法包含在方塊22處,在第一溫度下汽化第一有機前驅體以形成第一前驅體蒸氣。在一些具體例中,第一前驅體蒸氣在第二溫度下經由氣體管線輸送至基板。在一些具體例中,第二輸送溫度高於第一汽化溫度。在一些具體例中,在方塊23處,使基板與氣相第一前驅體接觸持續第一暴露時間段。在一些具體例中,第一前驅體或其物種以自飽和或自限制方式化學吸附於基板上。氣體管線可為將第一前驅體蒸氣自來源輸送至基板之任何管道。在一些具體例中,基板可在高於第一溫度之第三溫度下暴露於第一前驅體蒸氣。
在一些具體例中,第一前驅體暴露時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳暴露時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之暴露時間段。
隨後可在方塊24處將過量之第一前驅體蒸氣(及任何揮發性反應副產物)自與基板之接觸中移除。此類移除可藉由例如吹掃、泵吸、移動基板離開於其中暴露於第一反應物之腔室或區域、或其組合來完成。在一些具體例中,第一前驅體移除時間段,例如吹掃時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳移除時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之移除時間段。
在一些具體例中,在方塊25處,第二前驅體可在第四溫度下汽化以形成第二氣相前驅體。在一些具體例中,第二反應物蒸氣在第二溫度下經由氣體管線輸送至基板。在一些具體例中,第五輸送溫度高於第一汽化溫度。在一些具體例中,基板可在高於第四溫度之第六溫度下與第二氣相前驅體接觸。在一些具體例中,第六溫度可與第一氣相前驅體接觸基板之第三溫度實質上相同。在一些具體例中,在方塊26處,可使基板暴露於第二前驅體蒸氣持續第二暴露時間段。在一些具體例中,第二反應物可與基板上之第一反應物的經吸附物種反應。
在一些具體例中,第一前驅體暴露時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳暴露時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之暴露時間段。
在一些具體例中,在方塊27處過量之第二前驅體蒸氣(及任何揮發性反應副產物)自與基板之接觸中移除,使得第一反應物蒸氣與第二反應物蒸氣不混合。在一些具體例中,有機層之氣相沉積製程不使用電漿及/或自由基,且可視為熱氣相沉積製程。在一些具體例中,第二前驅體移除時間段,例如吹掃時間段為約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習技藝人士可基於特定情形容易地判定最佳移除時間段。在一些具體例中,當可使用分批反應器時,可使用大於60秒之移除時間段。
在方塊28中,有機層相對於第二表面選擇性地沉積於第一表面上。熟習技藝人士將瞭解有機層之選擇性沉積為上述接觸行動之結果,而非個別之行動。在一些具體例中,上述接觸及移除(及/或停止供應)行動(方塊23-27)可視為沉積循環。在一些具體例中,可重複沉積循環,直至選擇性地沉積所需厚度之有機層為止。可重複此種選擇性沉積循環(方塊29),直至於基板上留下足夠厚度之層為止,且結束沉積(方塊30)。選擇性沉積循環可包括額外行動,不需要在各重複中以相同順序及相同地進行,且可容易地擴展至更複雜之氣相沉積技術。舉例而言,選擇性沉積循環可包括額外之反應物供應過程,諸如在各循環中或在選定循環中供應及移除額外反應物。儘管未顯示,但製程可另外包含處理沉積層以形成聚合物(例如,UV處理、退火等)。
可將各種反應物用於上述製程。舉例而言,在一些具體例中,第一前驅體或反應物為有機反應物,諸如二胺,例如1,6-二胺基己烷(DAH)或任何其他具有兩個反應性基團之單體。在一些具體例中,第二反應物或前驅體亦為能夠在沉積條件下與第一反應物之經吸附物種反應的有機反應物。舉例而言,第二反應物可為酐,諸如呋喃-2,5-二酮(順丁烯二酸酐)。酐可包含二酐,例如,苯均四酸二酐(PMDA)或任何其他將與第一反應物反應之具有兩個反應性基團之單體。
在一些具體例中,基板在與第二前驅體接觸之前與第一前驅體接觸。因此,在一些具體例中,基板在與另一前驅體接觸之前與胺(諸如二胺,例如1,6-二胺基己烷(DAH))接觸。然而,在一些具體例中,基板在與第一前驅體接觸之前與第二前驅體接觸。因此,在一些具體例中,基板在與另一前驅體接觸之前與酐(諸如呋喃-2,5-二酮(順丁烯二酸酐))或二酐(例如,苯均四酸二酐(PMDA))接觸。
在一些具體例中,不同反應物可用於調整層特性。舉例而言,聚醯亞胺層可使用4,4'-氧基二苯胺或1,4-二胺基苯替代1,6-二胺基己烷來沉積,以得到具有更高芳香性及經增加之抗乾式蝕刻性的更為剛性之結構。
在一些具體例中,反應物不含有金屬原子。在一些具體例中,反應物不含有半金屬原子。在一些具體例中,反應物中之一者包含金屬或半金屬原子。在一些具體例中,反應物含有碳及氫及以下元素中之一或多者:N、O、S、P或鹵素,諸如Cl或F。在一些具體例中,第一反應物可包含例如己二醯氯(AC)。
沉積條件可視所選反應物而不同且可根據選擇來最佳化。在一些具體例中,反應溫度可選自約80℃至約250℃之範圍。在一些具體例中,反應腔室壓力可為約1毫托至約1000托、約10-5 至約760托,或在一些具體例中,約1至10托。在一些具體例中,例如,當經選擇性沉積之有機層包含聚醯胺時,反應溫度可選自約80℃至約150℃之範圍。在一些具體例中,當經選擇性沉積之有機層包含聚醯胺時,反應溫度可大於約80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃。在一些具體例中,當經選擇性沉積之有機層包含聚醯亞胺時,反應溫度可大於約160℃、180℃、190℃、200℃或210℃。
舉例而言,對於在單一晶圓沉積工具中使用PMDA及DAH依序沉積聚醯亞胺,基板溫度可選自約150℃至約250℃,或約170℃至約210℃之範圍,且壓力可選自約1 毫托至約760托、或在約100毫托至約100托之間的範圍。
在一些具體例中,用於本文中所描述之選擇性沉積製程之反應物可具有以下通式:
(1)      R1 (NH2 )2 其中R1 可為包含1-5個碳原子、2-5個碳原子、2-4個碳原子、5個或更少個碳原子、4個或更少個碳原子、3個或更少個碳原子或2個碳原子之脂族碳鏈。在一些具體例中,反應物或前驅體中之碳原子之間的鍵可為單鍵、雙鍵、參鍵或其一些組合。因此,在一些具體例中,反應物可包含兩個胺基。在一些具體例中,反應物之胺基可佔據脂族碳鏈上之一個或兩個末端位置。然而,在一些具體例中,反應物之胺基可不佔據脂族碳鏈上之任一末端位置。在一些具體例中,反應物可包含二胺。在一些具體例中,反應物可包含選自以下之群的有機前驅體:1,2-二胺基乙烷(l)、1,3-二胺基丙烷(l)、1,4-二胺基丁烷(l)、1,5-二胺基戊烷(l)、1,2-二胺基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)。
在一些具體例中,用於本文中所描述之選擇性沉積製程之反應物可具有以下通式:
(2)      R2 (COCl)2 其中R2 可為包含1-3個碳原子、2-3個碳原子或3個或更少個碳原子之脂族碳鏈。在一些具體例中,反應物或前驅體中之碳原子之間的鍵可為單鍵、雙鍵、參鍵或其一些組合。在一些具體例中,反應物可包含氯化物。在一些具體例中,反應物可包含二醯基氯。在一些具體例中,反應物可包含選自以下之群的有機前驅體:乙二醯氯(I)、丙二醯氯及反丁烯二醯氯。
在一些具體例中,反應物包含選自以下之群的有機前驅體:1,4-二異氰酸丁烷或1,4-二異氰酸苯。在一些具體例中,反應物包含選自以下之群的有機前驅體:對酞醯二氯、烷二醯二氯(諸如,己二醯二氯、辛二醯二氯、壬二醯二氯、癸二醯二氯)或對酞醯二氯。在一些具體例中,反應物包含選自以下之群的有機前驅體:1,4-二異硫氰酸苯或對苯二醛。在一些具體例中,經汽化之反應物亦可為二胺,諸如1,4-二胺基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺、4,4'-氧基二苯胺或乙二胺。在一些具體例中,反應物可為對苯二甲酸雙(2-羥乙基)酯。在一些具體例中,反應物可為羧酸,例如,烷基-、烯基-、鏈二烯基-二羧酸或三羧酸,諸如乙二酸、丙二酸、丁二酸、戊二酸或丙烷-1,2,3-三羧酸。在一些具體例中,反應物可為芳族羧酸或二羧酸,諸如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些具體例中,反應物可包含一或多個鍵結至烴之OH-基團。在一些具體例中,反應物可選自以下之群:二醇、三醇、胺基酚,諸如,4-胺基酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些具體例中,反應物可為8-喹啉酚。在一些具體例中,反應物可包含烯基氯矽烷,如烯基三氯矽烷,諸如7-辛烯基三氯矽烷。
在一些具體例中,反應物可在約20℃或室溫之溫度下具有大於約0.5托、0.1托、0.2托、0.5托、1托或更大之蒸氣壓。在一些具體例中,反應物可具有小於約400℃、小於300℃、小於約250℃、小於約200℃、小於約175℃、小於約150℃或小於約100℃之沸點。相對於有機表面選擇性沉積相關層
如在經併入之2016年5月5日遞交之美國臨時專利申請案第62/332,396號中所揭示,金屬性材料及金屬氧化物相對於有機材料(諸如本文所揭示之鈍化層)之選擇性沉積可藉由使用疏水性反應物來促進。在一些具體例中,在第一表面上選擇性地形成鈍化層之後,金屬氧化物藉由使基板交替及依序地與包含金屬氧化物之金屬的第一疏水性反應物及包含氧之第二反應物接觸而選擇性地沉積於第二表面上。在一些具體例中,第二反應物為水或H2 O2 。在一些具體例中,類似於圖4A之順序,基板依序與第一及第二反應物接觸,除了非有機層選擇性地沉積於第二表面上或上方(參見,例如,圖1A至圖3B)。
疏水性反應物包含一或多個疏水性配位體。在一些具體例中,疏水性反應物包含兩個至四個疏水性配位體。在疏水性反應物包含具有n之價數/氧化態之金屬的情況中,在一些具體例中,疏水性前驅體包含n-1或n-2個疏水性配位體。
在一些具體例中,至少一個疏水性配位體僅包含C及H。在一些具體例中,至少一個疏水性配位體包含C、H及Si或Ge,而無其他元素。
在一些具體例中,烴配位體包含以下中之一或多者: ●C1-C10烴(單、雙或參鍵結) 烷基 ■C1-C5烷基 ●Me、Et、Pr、i Pr、Bu、t Bu 烯基 ■C1-C6烯基 環烴 ■C3-C8 ●環戊二烯基 ●環庚二烯基 ●環庚三烯基 ●環己基 ●彼等之衍生物 芳族 ■C6芳環及彼等之衍生物
在一些具體例中,疏水性反應物不包含親水性配位體。然而,在一些具體例中,疏水性反應物可包含一或兩個親水性配位體。在一些具體例中,親水性配位體包含氮、氧及/或鹵素基團。
在一些具體例中,親水性配位體為烷基胺(-NR2 ,其中各R可為烷基、氫)。在一些具體例中,親水性配位體可為-NMe2 、-NEtMe或-NEt2
在一些具體例中,親水性配位體為烷氧化物,例如-OMe、-OEt、-Oi Pr、-Ot Bu。
在一些具體例中,親水性配位體包含鹵化物,諸如氯化物、氟化物或其他鹵化物。
在一些具體例中,疏水性前驅體包含下式: Ln MXy ,其中 ■在一些具體例中,n為1-6; ●在一些具體例中,n為1-4或3-4。 ■在一些具體例中,y為0-2; ●在一些具體例中,y為0-1。 ■L為疏水性配位體; ●在一些具體例中,L為Cp或C1-C4烷基配位體。 ■X為親水性配位體; ●在一些具體例中,X為烷基胺、烷氧化物或鹵化物配位體。 ■M為金屬(包括第13族元素、B、Ga); ●在一些具體例中,M具有+I至+VI之氧化態。 在一些具體例中,M具有+IV至+V之氧化態。 ●在一些具體例中,M可為過渡金屬。 在一些具體例中,M為Ti、Ta、Nb、W、Mo、Hf、Zr、V或Cr。 ■在一些具體例中,M為Hf、Zr、Ta或Nb。 ●在一些具體例中,M為Zr。 在一些具體例中,M為Co、Fe、Ni、Cu或Zn。 在一些具體例中,金屬不為W或Mo。 ●在一些具體例中,M可為稀土金屬。 在一些具體例中,M為La、Ce或Y。 ●在一些具體例中,M可為來自第2族至第13族之金屬。 在一些具體例中,M為Ba、Sr、Mg、Ca或Sc。 ●在一些具體例中,M不為貴金屬。
更一般而言,在一些具體例中,選擇性ALD製程使用金屬前驅體。在一些具體例中,金屬前驅體之金屬可選自包含以下之群:Al、Ti、Ta、Nb、W、Mo、Hf、Zr、V、Cr、Co、Fe、Ni、Cu、Zn、La、Ce、Y、Ba、Sr、Mg、Ca或Sc或其混合物。在一些具體例中,金屬可為Al。
在一些具體例中,疏水性反應物為雙(甲基環戊二烯基)甲氧基甲基鋯(IV)((CpMe)2 -Zr-(OMe)Me)。
在一些具體例中,疏水性反應物為雙(甲基環戊二烯基)甲氧基甲基鉿(IV)((CpMe)2 -Hf-(OMe)Me)。
在其他具體例中,選擇性ALD製程使用Al前驅體。Al前驅體之實施例包括三甲基鋁(TMA)、三氯化鋁(AlCl3 )、二甲基異丙醇鋁(DMAI)及三乙基鋁(TEA)。在一些具體例中,鋁前驅體為混配鋁化合物。在一些具體例中,混配鋁化合物包含烷基及另一配位體,諸如鹵素,例如Cl。在一些具體例中,鋁化合物為二甲基氯化鋁。在一些具體例中,鋁前驅體為包含兩個不同烷基作為配位體之烷基前驅體。在一些具體例中,鋁前驅體為金屬有機化合物。在一些具體例中,鋁前驅體為有機金屬化合物。
在一些具體例中,第二反應物貢獻一或多個元素至經選擇性沉積之材料。舉例而言,第二反應物可為用於沉積金屬氧化物之氧前驅體或用於沉積金屬氮化物之氮前驅體。
在一些具體例中,第二反應物包含氧前驅體。
在一些具體例中,第二反應物包含H2 O。
在一些具體例中,第二反應物包含O3
在一些具體例中,第二反應物包含H2 O2
在一些具體例中,第二反應物包含氧電漿、離子、自由基、原子O或氧之受激發物種。
在一些具體例中,第二反應物包含氮前驅體。
在一些具體例中,第二反應物包含NH3
在一些具體例中,第二反應物包含N2 H4
在一些具體例中,第二反應物包含含氮電漿、離子、自由基、原子N或包含N之受激發物種。在一些具體例中,氮反應物可包含與對應氫物種之混合物。
在一些具體例中,可利用向經沉積材料貢獻除N或O外之元素的其他反應物。此等反應物可在N或O第二反應物之外使用,或其本身可充當第二反應物。舉例而言,在一些具體例中,硫反應物可用於沉積硫化物,碳反應物可用於沉積碳或矽反應物可用於沉積矽化物。
在一些具體例中,可使用有助於沉積金屬或金屬性膜(諸如元素金屬膜)之第二(或額外)反應物。舉例而言,在一些具體例中,可使用氫反應物。
或者,如參照圖2D所描述,相關金屬性傳導性膜可相對於有機鈍化層經選擇性地沉積於第二表面(金屬性表面)上。舉例而言,2015年2月17日發佈之美國專利第8,956,971號及2015年8月18日發佈之美國專利第9,112,003號(出於所有目的其全部揭示內容以引用之方式併入本文中)教示將金屬性材料相對於非金屬性表面(包括有機材料)選擇性沉積於金屬性表面上之製程。亦如上文參照圖3A所述,可在移除有機鈍化層之前將另一介電層(金屬氧化物材料)選擇性地形成於經選擇性形成之金屬性材料層上方。
在一些具體例中,包含相關材料之薄膜,諸如氧化鋁(例如,Al2 O3 )或氮化鈦(例如,TiN),相對於一或多個第二金屬表面(諸如銅、鈷、氮化鈦或鎢表面)選擇性地沉積於一或多個第一介電性或低k表面上。在圖13中繪示例示性製程。
在圖13之步驟1A中,預處理包含低k表面及金屬表面之基板。如上文所論述,在一些具體例中,預處理可包含使基板暴露於電漿,諸如氫電漿。在一些具體例中,進行非電漿預處理製程。舉例而言,在一些具體例中,基板表面可暴露於矽反應物,諸如N-(三甲基矽烷基)二甲胺(TMSDMA)或三甲基氯矽烷。反應物可以單一長脈衝,或以多個短脈衝順序提供。在一些具體例中,反應物以約1至約60秒之1至25個脈衝提供。在脈衝之間,反應腔室可用惰性氣體吹掃。吹掃可例如為約1至30秒。
在一些具體例中,使表面與具有式(RI )3 Si NRII RIII )之烷基胺基矽烷接觸,其中RI 為直鏈或支鏈C1-C10烴基或直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,RII 為直鏈或支鏈C1-C10烴基或直鏈或支鏈C1-C5烷基、直鏈或支鏈C1-C4烷基或氫,及RIII 為直鏈或支鏈C1-C10烴基或直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基。
在一些具體例中,使表面與具有通式(RI )3 SiA之矽烷接觸,其中RI 為直鏈或支鏈C1-C10烴基或直鏈或支鏈C1-C5烷基或直鏈或支鏈C1-C4烷基,且A為任何可與含矽表面反應之配位體。亦即,矽烷經由配位體A與表面鍵結,或配位體A與表面形成鍵結,但隨後配位體A可轉移遠離表面及/或矽烷。
預處理製程之溫度可例如為約100至約300℃。在預處理製程期間之壓力可例如為約10-5 至約760托,或在一些具體例中,約1至10托或約0.1至約10托。在一些具體例中,預處理或清潔製程可原位進行,亦即在與選擇性沉積製程相同之反應腔室中進行。
在步驟1B中,諸如有機材料(如聚醯亞胺)之抑制劑選擇性地沉積於金屬表面上。抑制劑可如本文所描述來沉積。在一些具體例中,沉積溫度為約160至約220℃。反應腔室壓力可例如為約10-5 至約760托,或在一些具體例中,約1至10托或約1至25托。在一些具體例中,用於沉積聚醯亞胺抑制劑之氣相沉積循環進行約1至1000次。
在一些具體例中,聚醯亞胺抑制劑藉由交替且依序地使基板與DAH及PDMA接觸來沉積。DAH及PDMA可藉由脈衝長度約0.1至10s之脈衝,接著脈衝之間約0.1至10s之吹掃經交替且依序地提供至反應空間。
在步驟1C中,進行清潔製程以移除存在於低k表面上之任何抑制劑。清潔製程可包含H2 電漿處理。清潔製程可如本文所描述進行。在一些具體例中,清潔製程在約室溫至約400℃之溫度下進行。約10至1000W或約25至250 W之電漿功率可用於在例如以約10至500 sccm之流動速率流動H2 中生成電漿。在抑制劑沉積之後的清潔時間可例如為約1至600秒。
在步驟1D中進行烘烤。烘烤可例如使抑制層緻密化且使其更堅固,例如抵抗隨後之高溫過程。在一些具體例中,烘烤在約100至約800℃,例如約300至約600℃之溫度下進行。在一些具體例中,烘烤步驟在大於300℃之溫度下進行。在一些具體例中,烘烤步驟在約400℃之溫度下進行。在一些具體例中,烘烤時間為約1至約15分鐘。烘烤可包含兩個步驟--在低溫下之第一步驟及在高溫下之第二步驟。舉例而言,烘烤可包含在約250℃之溫度下的第一步驟及在約400℃之溫度下的第二步驟。在一些具體例中,第一及第二步驟進行相同之時間量。在其他具體例中,其進行不同之時間量。
在一些具體例中,烘烤在與相關材料之後續選擇性沉積相同之反應器中進行。在一些具體例中,烘烤在與抑制劑之沉積相同之反應器中進行。在一些具體例中,烘烤在獨立反應腔室中進行。
在步驟1E中,材料藉由氣相沉積製程相對於包含抑制劑之金屬表面選擇性地沉積於介電性表面上。選擇性沉積可如本文所描述。
在一些具體例中,在步驟1E中,藉由交替地使基板與鋁反應物及氧反應物接觸來沉積氧化鋁。鋁反應物可包含三甲基鋁(TMA)、三氯化鋁(AlCl3 )、二甲基異丙醇鋁(DMAI)及三乙基鋁(TEA)。在一些具體例中,鋁前驅體為混配鋁化合物。在一些具體例中,混配鋁化合物包含烷基及另一配位體,諸如鹵素,例如Cl。在一些具體例中,鋁化合物為二甲基氯化鋁。在一些具體例中,鋁前驅體為包含兩個不同烷基作為配位體之烷基前驅體。在一些具體例中,鋁前驅體為金屬有機化合物。在一些具體例中,鋁前驅體為有機金屬化合物。氧反應物可包含例如水或H2 O2 。在一些具體例中,氧化鋁可藉由原子層沉積製程來沉積,其中使基板交替地及依序地與二甲基異丙醇鋁(DMAI)及水或H2 O2 接觸。在一些具體例中,在氧化鋁沉積期間反應腔室中之溫度為約150至約350℃。反應物之脈衝時間可為約0.1至約10秒,且在反應物脈衝之間的吹掃時間亦可為約0.1至約10秒。反應腔室壓力可例如為約10-5 至約760托,或在一些具體例中,約1至10托。
在一些具體例中,在步驟1E中,藉由交替地使基板與鈦反應物及氮反應物接觸來沉積氮化鈦。鈦反應物可包含例如TiCl4 。氮反應物可包含例如NH3 。在一些具體例中,TiN可藉由原子層沉積製程來沉積,其中使基板交替地及依序地與TiCl4 及NH3 接觸。在一些具體例中,在氮化鈦沉積期間反應腔室中之溫度為約250至約500℃。鈦反應物之脈衝時間可為約0.2至約10秒,且氮反應物之脈衝時間可為約0.1至約10秒。在反應物脈衝之間的吹掃時間亦可為約0.1至約10秒。反應腔室壓力可例如為約10-5 至約760托,或在一些具體例中,約1至10托。使用圖13中所繪示之製程流程來沉積氮化鈦層。
在步驟1F中,對基板進行沉積後清潔步驟以自金屬表面移除抑制劑,諸如用H2 電漿處理。清潔步驟可包含H2 電漿處理。清潔製程可如本文所描述進行。在一些具體例中,清潔步驟在約室溫至約400℃之溫度下進行。約10至2000W、25至1000W或25至250 W之電漿功率可用於在例如以約10至500 sccm之流動速率流動H2 中生成電漿。在相關層之沉積之後的清潔時間可例如為約1至600秒。
在一些具體例中,包含相關材料之薄膜,諸如氧化鋁(例如,Al2 O3 )或氮化鈦(例如,TiN),相對於一或多個第二表面選擇性地沉積於三維結構之第一表面上。三維結構可包含例如通孔或溝槽。在一些具體例中,諸如聚醯亞胺層之抑制劑非選擇性地沉積於三維結構上。隨後抑制劑經圖案化以暴露需要選擇性沉積之區域。舉例而言,各向異性蝕刻可用於自需要沉積之表面上移除層。隨後進行氣相沉積以在未覆蓋有抑制劑之區域上沉積相關層。
在圖14中繪示在三維結構上選擇性沉積之例示性製程。繪示包含溝槽或通孔開口之結構。如步驟2A中顯示,抑制劑保形地沉積於特徵上。抑制劑可如本文所描述來沉積。舉例而言,聚醯亞胺可如本文所描述來氣相沉積。在步驟2B中,抑制劑為藉由各向異性蝕刻自溝槽之底部移除。如步驟2C中所繪示,蝕刻後烘烤抑制劑,且相關層相對於包含抑制劑之表面經選擇性地沉積於溝槽底部之暴露表面上。最後,如步驟2D中所繪示,抑制劑材料自剩餘表面移除。儘管未繪示,但額外預處理步驟可如本文所描述來進行。鈍化阻擋層
如上文所指出,自組裝單層(SAM)可用以抑制有機鈍化層之沉積,因此有助於有機鈍化層於其他表面上之選擇性沉積。術語「阻擋」因此僅為標記,且無需意指有機鈍化層沉積之100%鈍化。如本文中其他處所指出,即使不完全之選擇性可充分地在回蝕製程之後獲得完全選擇性結構。
在一個具體例中,鈍化阻擋層形成於第二表面上以抑制沉積包含含硫之SAM。在一個具體例中,第二表面為金屬性表面。在一個具體例中,金屬性表面在SAM形成之前用酸處理作預處理。沉積設備
可用於本文所描述之選擇性沉積製程之適合反應器之實施例包括商業上可獲得之ALD設備。除ALD反應器之外,可使用許多其他類型之能夠生長有機鈍化層的反應器,包括CVD反應器、VDP反應器及MLD反應器。
本文參照圖1A至圖1D所描述之介電質沉積上之選擇性介電質可在至多五個過程中進行。(1)預處理;(2)於第一表面上之選擇性有機鈍化層沉積;(3)自第二表面上方部分回蝕(亦稱作「清潔」蝕刻)任何有機材料;(4)於第二表面上之選擇性介電質沉積;及(5)自第一表面上方移除有機鈍化層。
在一個具體例中,用於順序之工具可藉由將(2)選擇性有機鈍化層沉積及(3)部分回蝕組合於一個腔室中,且使用組合腔室來進行(4)於第二表面上之選擇性介電質沉積來最小化。預處理可在另一平台(例如,濕式清洗台)上進行或經由調整特定配方來省略。有機鈍化層移除可在個別灰化工具(諸如常用於移除光阻劑及其他有機材料之彼等)中或在沉積腔室中使用與用於部分回蝕有機材料相同或類似之化學物質進行。因此,沉積階段及介入回蝕可在包含2個反應器(包括4個或8個用於聚醯亞胺沉積及回蝕之加工工作台);及2個反應器(包括4個或8個用於選擇性介電質沉積之加工工作台)之平台中進行。
在一些具體例中,組合工具包含三個或多於三個反應腔室。舉例而言,第一腔室可用於預處理及蝕刻加工中之一或兩者。第二腔室可用於沉積有機層,且第三腔室可用於選擇性沉積相關膜。烘烤過程可在與相關膜之選擇性沉積相同之腔室中原位進行,或可在不同腔室中進行。
在一些具體例中,如本文所描述之選擇性沉積製程可在至少六個過程中進行,如圖13中所繪示。(步驟1A)預處理;(步驟1B)選擇性抑制劑沉積,諸如於第一表面上之有機層沉積之沉積;(步驟1C)自第二表面上方部分回蝕(亦稱作「清潔」蝕刻)任何有機材料;(步驟1D)烘烤有機層;(步驟1E)於第二表面上之選擇性沉積及(步驟1F)自第一表面上方移除有機層。
參照圖5,提供用於原位進行聚合物沉積及有機材料回蝕之裝置100。裝置100包括界定被配置為以容納至少一個基板120之反應空間115之反應腔室。裝置100亦包括被配置為用於汽化第一有機反應物110以形成第一反應物蒸氣之第一反應物容器105。氣體管線130將第一反應物容器105流體地連接至其中可容納基板120之反應空間115。氣體管線130被配置為以將第一反應物蒸氣自第一反應物容器105選擇性地輸送至通向反應空間115之入口歧管135。裝置100亦包括容納第二反應物145之第二反應物容器140。在一些具體例中,第二反應物145自然地為氣態;在其他具體例中,第二反應物容器140亦被配置為以使第二反應物145自天然液態或固態汽化。第二反應物容器140與入口歧管135選擇性流體連通。入口歧管135可包括跨越腔室寬度呈噴頭或交叉流組態之共用分配充氣室,或可針對個別反應物維持通向反應空間120之個別路徑。對於依序沉積具體例,可能需要保持反應物入口路徑分開直至引入至反應空間115為止,以便避免多個反應物沿共同流動路徑之表面反應,此可導致顆粒產生。在一些具體例中,裝置可包括用於供應額外反應物之額外容器。
所繪示之裝置100亦包括電漿源147。雖然經示意性繪示為如同附接至反應空間115,但熟悉技藝人士將瞭解電漿源可為在反應空間115外部之遠程電漿源,或可為用於在反應空間115內直接電漿產生(例如電容耦合式)之原位電漿產生器。可替代地或另外地,臭氧產生器可用於移除有機材料。
一或多個額外氣體源150與第一反應物容器105、反應空間115及電漿源147選擇性流體連通(達至與反應空間115分開之程度)。該等氣體源150可包括可充當吹掃氣體及載氣之惰性氣體,及用於電漿回蝕之其他氣體(例如,Ar/H2 )。來自該等氣體源之惰性氣體供應亦可如圖所示與第二反應物容器140及任何其他所需反應物容器選擇性流體連通以充當載氣。
控制系統125根據本文所描述之有機鈍化層沉積及回蝕方法與氣體分佈系統之閥門連通。控制系統125通常包括經程式化用於所需加工之至少一個處理器及記憶體。對於依序沉積加工,閥門以交替且重複地將基板暴露於反應物之方式來操作,而對於習知CVD製程中反應物之同時供應,可操作閥門以將基板同時暴露於彼此具反應性的反應物。
來自反應空間115之排氣口155經由排氣管線160與真空泵165連通。控制系統125被配置為以操作真空泵165以維持所需操作壓力且經由排氣口155排出過量反應物蒸氣及副產物。
控制系統125亦可控制裝置100之各種組件中之壓力及溫度。舉例而言,控制系統可經程式化以使基板120保持在適合於進行製程之溫度下。在一個具體例中,控制系統125亦被配置為以使第一反應物容器105中之第一反應物110維持在溫度A下,且被配置為以使反應空間115中之基板120維持在溫度B下,其中溫度B低於溫度A。在一具體例中,控制系統125或個別溫度控制亦被配置為以使氣體管線130維持在溫度C下,其中溫度C高於溫度A。
因此,裝置100包括用於汽化且供應上文所描述用於聚合物沉積之反應物的源容器105、140(例如,一個容器用於二胺且一個容器用於二酐前驅體)。電漿源147與包括H2 及惰性氣體(例如,稀有氣體,諸如氬氣或氦氣)之來源的氣體源150連通。另外,裝置100包括經程式化以進行本文所描述之聚合物沉積以及氫電漿回蝕之方式供應氣體且操作電漿源之控制系統125。控制系統125使基板120維持在180℃至220℃,或約190℃至210℃之範圍內,使得聚合物沉積及回蝕可在相同溫度下依序進行,而不需要將基板120自反應空間115移除。回蝕可為0.5-600秒、1-120秒、1-60秒、1-20秒、2-15秒及5-15秒。作為另一實施例,經脈衝臭氧(O3 )蝕刻製程可用於回蝕製程。如熟悉技藝人士將瞭解,可修改製程條件用於較緩慢且更受控的蝕刻,以便部分回蝕來最小化第一表面上之所需鈍化層之過度蝕刻。實際上,蝕刻速率很大程度上取決於用於O3 蝕刻聚合物之蝕刻溫度。將鈍化層之選擇性沉積與部分回蝕組合並不會使單一腔室之製程時間增加過多,因為蝕刻製程通常相當短暫。相同的設備及蝕刻劑亦可用於移除鈍化層。
被配置為用於聚合物沉積及回蝕之裝置100可為具有用於DAH (具有約40℃之汽化溫度)及PMDA (具有約170℃之汽化溫度)之固體源容器的噴頭反應器。在一個具體例中,電漿源147包含具有用於原位回蝕之氬氣及H2 供應之原位直接電漿(例如,電容耦合式)裝置。在另一具體例中,裝置100可為交叉流反應器而非噴頭反應器,但仍具有上文所指之固體源容器105、140及直接電漿能力。在另一具體例中,包含遠程電漿之電漿源147經耦接至反應空間115,以供應產生自Ar/H2 電漿之電漿。在另一具體例中,電漿源147可經耦接至反應空間115之臭氧產生器替代。遠程電漿或臭氧產生器可例如連接至噴頭反應器。
聚合物沉積裝置100理想地包括自清潔能力,以使反應空間115及排氣管線160在多次沉積之後保持乾淨。在一些具體例中,上文提及用於回蝕之原位或遠程Ar/H2 電漿源147可經調適用於可能在高功率或溫度下之定期腔室清潔,因其可在不存在生產基板下且僅定期地(而非每個晶圓地)操作。或者,聚合物沉積腔室可設置有供應NF3 蝕刻之遠程電漿或臭氧供應以進行定期腔室清潔。在一些具體例中,O3 /N2 供應可經調適用於定期腔室清潔,可能相較於聚合物部分回蝕或移除製程在較高功率或溫度下,因為腔室清潔製程為在不存在生產基板下且僅定期地(而非每個晶圓地)操作。管線邊緣位置
參照圖6且在一些具體例中,如上文所描述,在第二表面上之選擇性沉積可藉由選擇性鈍化第一表面,隨後將介電質(諸如ZrO2 )選擇性沉積於第二表面上來完成。在所繪示之流程圖中,第一表面可為金屬性(例如,於積體電路層間介電質(或ILD)中之嵌入金屬特徵),且第二表面可為介電性(例如,ILD)。鈍化可包含在步驟1中相對於部件之第二表面選擇性地沉積於第一表面上的聚合物或其他有機材料。隨後,在步驟2中進行使選擇性完全之聚合物回蝕(有時稱作「清潔」蝕刻)以移除可能已沉積於第二表面上之聚合物,而不自第一表面移除所有聚合物。由於聚合物充當鈍化層,因此在步驟3中介電性材料經選擇性地沉積於第二表面上。在步驟3中可使用任何數目的適合介電性材料。在一些具體例中,介電性材料可選自ZrO2 及其他金屬氧化物,諸如過渡金屬氧化物或氧化鋁,或其他介電性氧化物,包括對基於SiO2 之材料具有蝕刻選擇性或在蝕刻基於SiO2 之材料之情況中具有緩慢蝕刻速率的混合物。儘管一些此類金屬氧化物可具有高k值,高於5或甚至高於10,但其較薄,是位於在金屬化結構中避免顯著寄生電容之位置中,且有利地容許遮蔽表面以防止選擇性蝕刻氧化矽材料。在其他具體例中,介電質可為基於氧化矽之材料,但可能較厚以充當如本文所描述之蝕刻遮罩。在圖6之步驟4中,將聚合物鈍化自第一表面移除。
圖7繪示自已形成介電層之第二表面移除鈍化(例如,聚合物或其他有機層)之回蝕時間對所形成之介電層的影響。更具體言之,可藉由選擇中間聚合物回蝕製程之程度來相對於下伏金屬性表面與介電性表面之間的邊界控制經選擇性形成之介電層邊緣的位置。在一具體例中,如先前描述於圖6之步驟1中,如圖7中圖示之第1列所見,聚合物相對於部件之第二表面沉積於第一表面上。如沉積之聚合物繪示中所見,聚合物於第一表面上之沉積在第一表面上方產生較厚之聚合物層表面,在第二表面上方具有相對薄之聚合物層,因此在第一-第二表面邊界處具有自第一表面至第二表面向下傾斜之聚合物厚度。隨後,可進行如先前描述於圖6之步驟2中之聚合物回蝕持續不同持續時間(或以不同蝕刻速率持續相同持續時間,諸如藉由不同溫度或蝕刻劑濃度,或持續不同持續時間及不同蝕刻速率),以控制聚合物層之厚度及形狀,如圖7中圖示之第一行的第2至第6列所見。回蝕可為各向同性或各向異性。在一些具體例中,聚合物蝕刻時間為最少,且聚合物蝕刻未移除足以使第二表面暴露之聚合物,如圖7中圖示之第2列所見。在此情況,隨後之選擇性介電質沉積未起作用,因為第一及第二表面皆經鈍化層覆蓋,且即使有少量的介電性沉積物,其將藉由剝離製程利用移除鈍化層而移除。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除大部分所形成之聚合物,但留下在第一-第二表面邊界上方延伸至第二表面上的聚合物層前邊緣,如圖7中圖示之第3列所見。在此情況,隨後之介電質選擇性沉積及聚合物移除在經沉積之介電質邊緣與第一-第二表面邊界之間留下間隙。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除聚合物,且留下與第一-第二表面邊界對齊之聚合物層邊緣。在此情況,隨後之介電質選擇性沉積及聚合物移除留下與第一-第二表面邊界對齊之經沉積介電質之底表面邊緣。在一些具體例中,選擇聚合物蝕刻時間以自第二表面移除聚合物及自第一表面移除一部分聚合物,且在聚合物層前邊緣與第一-第二表面邊界之間存在第一間隙,如圖7中圖示之第5列所見。在此情況下,隨後之介電質選擇性沉積及聚合物移除留下在第一-第二表面邊界上方延伸且與第一表面重疊之經沉積介電質。若聚合物蝕刻時間進行了延長時間段且聚合物蝕刻自第一表面及第二表面兩者完全地移除聚合物,如圖7中圖示之第6列所見,則隨後之介電質沉積不具選擇性。
因此,可進行先前描述於圖6之步驟3及4中之選擇性介電質選擇性沉積及部分聚合物回蝕,以視在其選擇性沉積後之鈍化回蝕程度而定,在第二表面上之經選擇性沉積之介電層之邊緣與第一及第二表面之間的界面之間產生各種關係,如圖6中圖示之第三行之第2至第6列之最右側影像所見。在一些具體例中,由於聚合物層鈍化第二表面,因而未形成介電層,如圖7中圖示之第2列所見。在一些具體例中,在第二表面上之介電質與第一表面之間存在間隙,如圖7中圖示之第3列所見。在一些具體例中,介電層邊緣與第一-第二表面邊界對齊,如圖7中圖示之第4列所見。在一些具體例中,介電層與第一表面重疊,如圖7中圖示之第5列所見。在一些配置中,由於沒有聚合物層鈍化第一表面,因此介電層形成於第一表面及第二表面兩者上。
圖8繪示鈍化層沉積厚度對所形成之介電層的影響。更具體言之,可藉由選擇中間聚合物鈍化層之厚度來相對於下伏金屬性表面及介電性表面之間的邊界控制經選擇性形成之介電層邊緣的位置。隨著鈍化層沉積厚度增加,在第一表面及第二表面兩者上之鈍化層厚度增加。然而,由於鈍化層經選擇性地沉積於第一表面上,因此在第二表面上方之鈍化厚度增加小於第一表面上方之鈍化層厚度。因此,鈍化回蝕、介電質沉積及鈍化移除將產生相對於第一-第二表面邊界具有不同位置之選擇性介電層。在一些具體例中,沉積鈍化層,此在經選擇性沉積之介電層邊緣與第一-第二表面邊界之間產生間隙,如圖8中圖示之第1行所見。在一些具體例中,沉積較厚之聚合物層,其於經選擇性沉積之介電層邊緣與第一表面之間產生較大間隙,如圖8中圖示之第2行所見。
圖9繪示經選擇性沉積之介電質厚度對所形成之介電層與第一-第二表面邊界之相對位置的影響。更具體言之,可藉由選擇選擇性介電層之厚度來相對於下伏金屬性表面與介電性表面之間的邊界控制經選擇性形成之介電層邊緣的位置。隨著選擇性地沉積於第二表面上之介電質沉積厚度增加,介電質懸垂邊緣逐漸延伸進一步越過第一-第二表面邊界。在一些具體例中,沉積介電層,此產生特定之懸垂結構,如圖9中圖示之第1行所見。在一些具體例中,沉積較厚之介電層,此產生較大的懸垂,如圖9中圖示之第2行所見。在一些具體例中,沉積再更厚之介電層,此於第一表面上方產生再更大之介電質懸垂,如圖9中圖示之第3行所見。針對特定之後續製程,諸如各向異性加工(例如,各向異性反應性離子蝕刻),懸垂程度可遮蔽第一表面之部分且針對後續加工提供保護。
因此,在一些具體例中,儘管類似於圖1D大部分選擇性地形成於介電性表面上方,但介電層經選擇性沉積以產生懸垂及/或與金屬性特徵重疊。在一些具體例中,介電層不包含懸垂或重疊,且介電質上之經選擇性沉積之介電質邊緣可與金屬性特徵之邊緣對齊或在經選擇性沉積之介電層與金屬性特徵之邊緣之間可存在間隙。由於本文中教示之選擇性沉積技術,經選擇性沉積之介電層可具有選擇性沉積之特徵特性,而不使用傳統遮蔽及蝕刻來將介電層圖案化。舉例而言,介電層之邊緣可以低於45度之斜率傾斜,而非如光微影圖案化層典型具有垂直或大幅度傾斜之側壁。無論是否使經選擇性沉積層經歷清潔蝕刻或部分回蝕,此特性蝕刻輪廓皆可保持。
圖10A至圖10D繪示表面形態可如何影響經選擇性沉積之介電質與第一及第二表面之間的邊界之間的關係。
圖10A繪示導致經選擇性沉積之介電質2502之邊緣與第一-第二表面邊界對齊之平面結構。藉由鈍化層2504 (例如聚合物材料)鈍化之第一表面可由金屬性材料(諸如嵌入式金屬2506)所界定,且第二表面可由低k介電質(諸如層間介電質(ILD) 2508)所界定。鈍化層2504經選擇性地沉積於第一表面上方且介電層2502經選擇性地沉積於第二表面上方,其中介電層2502之邊緣與第一-第二表面邊界對齊。
圖10B繪示相對於第二表面內凹之第一表面。如前文,第一表面可包含經嵌入及內凹於界定第二表面之低k介電性材料2508中之金屬性材料2506。鈍化層2504經選擇性地形成於凹部內之第一表面上方。介電層2502經設置於第二表面上方及凹部壁上方,其中介電層2502之邊緣與鈍化層2504之表面會合。移除鈍化層2504將導致介電層2502選擇性地形成於第二表面上但與第一表面(例如,金屬性特徵2506)重疊。
圖10C繪示相對於第二表面凸起之第一表面。第一表面可由經嵌入及突出於第二表面(其可為低k介電性材料2508)之上的金屬性材料2506所界定。鈍化層2504經設置於第一表面(包括突出側壁)上方,且因此至少部分地設置於第二表面上方。介電層2502經設置於第二表面上方,但藉由在側壁上之鈍化材料2504之厚度與第一表面隔開。因此,在移除鈍化層2504之後,在介電層2502與第一表面(例如,突出金屬性特徵2606)之間存在間隙。
圖10D繪示一些具體例之內凹第一表面。在此情況下,在移除鈍化層2504之後,在第二表面上之經選擇性沉積之介電層2502與第一表面之間留下間隙。在此情況下,間隙採取第二表面之垂直側壁形式,其隨後暴露於後續加工。
因此,圖7至圖10D繪示可經調整以調節經選擇性沉積之介電質2502 (例如,於介電性第二表面上)相對於第一及第二表面之間(例如,在金屬性特徵2506與低k介電質2508之間)的界面之位置的變數。特定言之,圖7顯示用於鈍化層回蝕之程度或時間可如何影響相對位置;圖8顯示選擇性沉積鈍化層之厚度可如何影響相對位置;圖9顯示選擇性沉積介電層之厚度可如何影響相對位置;且圖10A至圖10D顯示第一及第二表面之表面形態可如何影響相對位置。因此,可調整此等變數以影響第二表面上之選擇性沉積介電質是否與第一表面對齊、相對於其具有間隙或與其重疊。實施例應用
圖11A至圖11E繪示在一些具體例中,具有經改良之電隔離的裝置及製作裝置之製程。圖11A繪示類似於圖10A中顯示之平面結構之經部分製造的積體電路,其具有界定第一表面之嵌入式金屬性特徵2606,該第一表面與由周圍低k材料2608所界定之第二表面齊平。金屬性特徵包含設置於第一低k介電性材料2608內之第一材料,該第一材料更包含Cu 2610及TaN障壁材料2612。
圖11B繪示在第一材料上方之傳導性障壁層2614之後的圖11A之裝置。在一些具體例中,障壁層2614可為W。雖然經繪示為突出,但在一些具體例中,在Cu 2610線或通孔上方之障壁材料2614可嵌入於周圍低k材料2608中且與其齊平。
圖11C繪示在現由金屬性障壁層2614 (W)所界定之第一表面上方選擇性沉積鈍化層2604之後的圖11B之裝置,其中第一表面之邊緣經暴露。在一些具體例中,鈍化層2604可為有機材料,諸如聚合物。在一些具體例中,在選擇性沉積鈍化層2604之後接著充分回蝕鈍化層材料以使一些金屬性第一表面暴露。
圖11D繪示在選擇性沉積介電層2602於第二表面上方,進而與金屬性第一表面重疊之後的圖11C之裝置。在一些具體例中,介電層2602可為高k材料。在一些具體例中,高k材料可為ZrO2 。在一些具體例中,選擇性介電層2602可為低k材料,諸如SiOC、Al2 O3 及SiN。在一些具體例中,經選擇性沉積之介電性材料2602可充當針對後續經由低k材料2608之蝕刻的蝕刻終止,以打開暴露金屬性障壁材料2614之溝槽或通孔。
圖11E繪示在移除聚合物鈍化層2604,藉此暴露下伏金屬層表面(在此情況下為障壁材料2614)之後的圖11D之裝置。選擇性介電質2602與由障壁層2614所界定之金屬性第一表面重疊,且降低當後續於其上形成金屬性特徵(例如,上覆金屬線或通孔)時的短路風險。特定言之,低k材料沉積於圖11E之結構上方,且製作開口及填充金屬。開口是藉由遮蔽及選擇性低k蝕刻及經選擇性沉積之介電質(例如ZrO2 )上之蝕刻終止來製作。在鈍化、回蝕、介電質沉積及/或形貌變化期間條件的選擇所導致的經選擇性沉積之介電質2602與由障壁層2614所界定之金屬性特徵的重疊防止未對準。因此,重疊防止與相鄰的金屬性特徵接觸或不期望地蝕刻下方之低k材料2608。應注意經選擇性沉積之介電性材料2602可留在最終的積體電路裝置中,充當ILD層之間的蝕刻終止。雖然在金屬化製程中一般避免高k材料,但寄生電容為最小。最小寄生電容歸因於高k材料在低k材料上方的主要位置,高k材料之薄度歸因於其功能,及針對高k材料上方之此介電質覆蓋層之高選擇性之優勢超越由材料選擇所引起之輕微寄生電容。當然,利用待選擇性地沉積於ILD上之較低k材料亦可達成高蝕刻選擇性。
圖12A至圖12B繪示在一些具體例中具有氣隙之裝置及製作裝置之製程,該等氣隙由於各種理由可能為期望的,諸如降低積體電路中緊密間隔金屬性特徵(例如金屬線)之間的寄生電容。圖12A繪示與先前顯示於圖10A中之裝置類似的一些具體例之經部分製造之積體電路的平面表面。起始結構可為由介電性材料2608 (例如,低k ILD)界定之第二表面所包圍的由金屬性特徵2706 (例如,具有介電性及障壁襯料之Cu線)所界定之第一表面。將鈍化層2704選擇性地沉積於第一表面上方,且以將鈍化層2704留在第一表面上方及部分地留在第二表面上方的方式進行回蝕來暴露第二表面。將介電質2702選擇性地沉積於第二表面上方,其中介電層邊緣在第二表面上與第一-第二表面邊界間隔開。圖12B繪示在移除鈍化層2704以暴露第一表面及部分地暴露先前經第一材料覆蓋之第二表面,從而在經選擇性沉積之介電性材料與第一表面(金屬性特徵2706)之間留下間隙2710之後的圖12A之裝置。隨後,選擇性地蝕刻經暴露之第二材料在緊鄰金屬性特徵之彼等間隙2710中形成空腔2712。在一些具體例中,經選擇性蝕刻之第二材料為SiO。在一些具體例中,選擇性蝕刻為HBr乾式蝕刻。HBr乾式蝕刻可以約6-8 nm/min選擇性地蝕刻氧化矽,而某些其他材料是以較低速率蝕刻,諸如氮化矽(>0.3 nm/min)及氧化鋯(>0.3 nm/min),且同樣地不利用氯氣(例如,Cl2 )或六氟化硫(例如,SF6 )將不會蝕刻鎢。以足夠低之保形性沉積第三材料2714 (諸如標準低k材料)在鄰近金屬性特徵2706之橫向側的低k材料2708內留下氣隙2716。如技藝中所知曉,空氣空腔降低ILD之總k值且降低金屬性特徵之間的寄生電容。
儘管已論述某些具體例及實施例,但熟悉本技藝者應理解,申請專利範圍之範疇超出具體揭示之具體例而延伸至其他替代性具體例及/或用途及其明顯修改及等效者。
11、12、13、14、15、16、21、22、23、24、25、26、27、28、29、30:方塊 100:裝置 105:第一反應物容器 110:第一有機反應物 115:反應空間 120:基板 125:控制系統 130:氣體管線 135:入口歧管 140:第二反應物容器 145:第二反應物 147:電漿源 150:氣體源 155:排氣出口 160:排氣管線 165:真空泵 2502:介電質 2504:鈍化層 2506:嵌入式金屬/金屬性材料 2508:層間介電質(ILD)/低k介電性材料 2602:介電層/介電性材料 2604:鈍化層 2606:金屬性特徵 2608:低k材料 2610:Cu 2612:TaN障壁材料 2614:障壁層/障壁材料 2702:介電質 2704:鈍化層 2706:金屬性特徵 2708:低k材料 2710:間隙 2712:空腔 2714:第三材料 2716:氣隙 X:相關層 Y:第二相關層
圖1A為根據第一具體例之具有組成不同之第一及第二表面的基板之一部分的示意性橫截面。 圖1B為在第一表面之選擇性鈍化之後圖1A之基板的示意性橫截面。 圖1C為在選擇性沉積於第二表面上之後圖1B之基板的示意性橫截面。 圖1D為自第一表面移除鈍化材料之後圖1C之基板的示意性橫截面。 圖2A為根據第二具體例之具有不同組成之第一及第二表面之基板的一部分之示意性橫截面,其具有形成於第二表面上之鈍化阻擋材料。 圖2B為在第一表面之選擇性鈍化之後圖2A之基板的示意性橫截面。 圖2C為在自第二表面移除鈍化阻擋材料之後圖2B之基板的示意性橫截面。 圖2D為在選擇性沉積於第二表面上之後圖2C之基板的示意性橫截面。 圖2E為在自第一表面移除鈍化材料之後圖2D之基板的示意性橫截面。 圖3A為根據第三具體例,在將另一材料選擇性沉積於第二表面上方之後圖2D之基板的示意性橫截面。 圖3B為在自第一表面移除鈍化材料之後圖3A之基板的示意性橫截面。 圖4A為大致繪示用於選擇性沉積有機鈍化層之製程的流程圖。 圖4B為大致繪示用於選擇性沉積有機層之原子層沉積(ALD)製程的流程圖。 圖5為被配置為用於選擇性沉積聚合物層及自不期望表面原位回蝕之裝置的示意性圖示。 圖6為大致繪示根據具體例在用有機材料選擇性鈍化第一表面之後將介電層選擇性沉積於第二表面上之製程的流程圖。 圖7為利用具有不同組成之第一表面及第二表面之基板之一部分之示意性橫截面的流程圖,且大致繪示於鈍化材料上之回蝕程度對所形成之介電層與第一及第二表面之界面之關係的影響。 圖8為利用具有不同組成之第一及第二表面之基板之一部分之示意性橫截面的流程圖,且大致繪示鈍化層厚度對所形成之介電層與第一及第二表面之界面之關係的影響。 圖9為利用具有不同組成之第一及第二表面之基板之一部分之示意性橫截面的流程圖,且大致繪示介電層厚度對所形成之介電層與第一及第二表面之界面之關係的影響。 圖10A為具有不同組成之齊平的第一及第二表面(其分別具有選擇性地沉積於其上之鈍化層及介電層)之基板之一部分的示意性橫截面。 圖10B為具有不同組成之第一及第二表面(其中第一表面相對於第二表面內凹且分別具有選擇性地沉積於其上之鈍化層及介電層)之基板之一部分的示意性橫截面。 圖10C為具有不同組成之第一及第二表面(其中第一表面相對於第二表面凸起且分別具有選擇性地沉積於其上之鈍化層及介電層)之基板之一部分的示意性橫截面。 圖10D為具有不同組成之第一及第二表面(其中第一表面相對於第二表面內凹且分別具有選擇性地沉積於其上之鈍化層及介電層)之基板之一部分的示意性橫截面。 圖11A為具有嵌入式金屬特徵之基板之一部分的示意性橫截面。 圖11B為在形成金屬帽以界定第一表面之後圖11A之基板的示意性橫截面。 圖11C為在選擇性鈍化沉積及回蝕,從而將鈍化膜保留於金屬帽(其中金屬帽之邊緣經暴露)上方之後圖11B之基板的示意性橫截面。 圖11D為在將介電性材料選擇性沉積於基板之低k表面上方之後圖11C之基板的示意性橫截面,其中經沉積之介電質抵抗低k材料之蝕刻且與金屬帽重疊。 圖11E為在移除鈍化層之後圖11D之基板的示意性橫截面。 圖12A為顯示具有不同組成之第一及第二表面之基板之一部分之示意性橫截面的流程圖,且大致繪示第一表面之選擇性鈍化、以保留與第二表面重疊之鈍化的方式回蝕及將介電蝕刻遮罩選擇性沉積於第二表面之其餘部分上。 圖12B為在移除鈍化層,從而在第一表面與介電蝕刻遮罩之間留下間隙,選擇性蝕刻暴露於間隙中之低k材料,且沉積以於基板內留下氣隙之後,圖12A之基板的示意性橫截面。 圖13為繪示用於選擇性沉積之方法流程的流程圖。 圖14為繪示用於在三維結構(諸如溝槽或通孔)上選擇性沉積之方法流程的流程圖。
21、22、23、24、25、26、27、28、29、30:方塊

Claims (30)

  1. 一種相對於基板之第一表面選擇性沉積於該基板之第二表面上的方法,其中該第一表面及該第二表面具有不同組成,該方法依次包含: 相對於該第二表面在該第一表面上自氣相反應物選擇性地形成抑制劑層; 烘烤該抑制劑層;以及 相對於該鈍化層在該第二表面上自氣相反應物選擇性地沉積相關層。
  2. 如申請專利範圍第1項所述的方法,另包含: 在選擇性地形成該抑制劑層之前,處理該第一表面及該第二表面。
  3. 如申請專利範圍第2項所述的方法,其中處理包含使該基板暴露於電漿。
  4. 如申請專利範圍第2項所述的方法,其中處理包含使該基板暴露於矽烷,諸如烷基胺基矽烷。
  5. 如申請專利範圍第4項所述的方法,其中處理包含使該基板暴露於N-(三甲基矽烷基)二甲胺(TMSDMA)或三甲基氯矽烷。
  6. 如申請專利範圍第2項所述的方法,另包含: 在選擇性地形成該抑制劑層之後,清潔該第二表面以移除任何抑制劑。
  7. 如申請專利範圍第6項所述的方法,其中清潔包含用氫電漿處理。
  8. 如申請專利範圍第1項所述的方法,其中烘烤包含將該基板加熱至約300℃至400℃之溫度。
  9. 如申請專利範圍第1項所述的方法,另包含: 在選擇性地沉積該相關層之後,清潔該第一表面及該第二表面。
  10. 如申請專利範圍第9項所述的方法,其中清潔包含用氫電漿處理該等表面。
  11. 如申請專利範圍第1項所述的方法,其中選擇性地形成所述抑制劑層包含將有機層選擇性地氣相沉積於該第一表面上。
  12. 如申請專利範圍第11項所述的方法,其中該有機層為聚醯亞胺層。
  13. 如申請專利範圍第1項所述的方法,其中該相關層是藉由原子層沉積製程選擇性地沉積。
  14. 如申請專利範圍第1項所述的方法,其中該第一表面包含金屬或金屬性材料且該第二表面包含介電性材料。
  15. 如申請專利範圍第1項所述的方法,其中該相關層包含金屬氧化物。
  16. 如申請專利範圍第15項所述的方法,其中該金屬氧化物包含氧化鋯、氧化鉿、氧化鋁、氧化鈦、氧化鉭、氧化釔、氧化鑭或其他過渡金屬氧化物或其混合物。
  17. 如申請專利範圍第15項所述的方法,其中該金屬氧化物包含介電性過渡金屬氧化物。
  18. 如申請專利範圍第15項所述的方法,其中該金屬氧化物包含氧化鋁。
  19. 如申請專利範圍第18項所述的方法,其中該氧化鋁是使用包含三甲基鋁(TMA)、二甲基氯化鋁、三氯化鋁(AlCl3 )、二甲基異丙醇鋁(DMAI)或三乙基鋁(TEA)之鋁前驅體來沉積。
  20. 如申請專利範圍第18項所述的方法,其中該氧化鋁是使用包含混配鋁化合物(heteroleptic aluminum compound)之鋁前驅體來沉積,該混配鋁化合物包含烷基及另一配位體,諸如鹵素,例如Cl。
  21. 如申請專利範圍第18項所述的方法,其中,該氧化鋁是使用包含鋁烷基化合物之鋁前驅體來沉積,該鋁烷基化合物包含兩個不同烷基作為配位體。
  22. 如申請專利範圍第18項所述的方法,其中,該鋁化合物是使用包含金屬有機鋁化合物或有機金屬鋁化合物之鋁前驅體來沉積。
  23. 如申請專利範圍第1項所述的方法,其中該相關層包含金屬氮化物。
  24. 如申請專利範圍第23項所述的方法,其中該金屬氮化物為氮化鈦。
  25. 如申請專利範圍第24項所述的之方法,其中該氮化鈦是藉由氣相沉積製程由TiCl4 及NH3 沉積。
  26. 一種用於相對於基板之第一表面將相關層選擇性沉積於該基板之第二表面上的組合工具,其中該第一表面及該第二表面具有不同組成,該組合工具包含: 第一模組,被配置為用於預處理該基板; 第二模組,被配置為用於用電漿處理該基板; 第三模組,被配置為用於相對於該基板之該第二表面將抑制劑氣相沉積於該基板之該第一表面上;以及 第四模組,被配置為用於氣相沉積該相關層。
  27. 一種用於相對於基板之第一表面將介電質選擇性沉積於該基板之第二表面上的系統,該系統包含: 第一腔室,被配置為用於選擇性沉積有機鈍化層及用於部分回蝕該有機鈍化層;以及 第二腔室,被配置為用於相對於該基板之該第一表面將該介電質選擇性沉積於該第二表面上。
  28. 一種用於相對於基板之第一表面將相關膜選擇性沉積於該基板之第二表面上的系統,該系統包含: 第一腔室,被配置為用於預處理該基板及用於蝕刻加工; 第二腔室,被配置為用於選擇性沉積有機鈍化層;以及 第三腔室,被配置為用於相對於該基板之該第一表面將該相關膜選擇性沉積於該基板之第二表面上。
  29. 如申請專利範圍第28項所述的系統,其中該第三腔室更被配置為用於烘烤製程。
  30. 如申請專利範圍第28項所述的系統,其更包含被配置為用於烘烤製程之第四腔室。
TW108135626A 2018-10-02 2019-10-02 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統 TW202026461A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862740124P 2018-10-02 2018-10-02
US62/740,124 2018-10-02
US201962805471P 2019-02-14 2019-02-14
US62/805,471 2019-02-14

Publications (1)

Publication Number Publication Date
TW202026461A true TW202026461A (zh) 2020-07-16

Family

ID=69946453

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135626A TW202026461A (zh) 2018-10-02 2019-10-02 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統

Country Status (5)

Country Link
US (3) US11145506B2 (zh)
JP (1) JP2020056104A (zh)
KR (1) KR20200038425A (zh)
CN (1) CN110993482A (zh)
TW (1) TW202026461A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797858B (zh) * 2020-12-01 2023-04-01 美商慧盛材料美國責任有限公司 選擇性熱原子層沉積

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20220157488A (ko) * 2020-03-26 2022-11-29 어플라이드 머티어리얼스, 인코포레이티드 붕소 및 탄소 막들의 촉매 형성
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11286556B2 (en) * 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
WO2021257392A1 (en) * 2020-06-17 2021-12-23 Tokyo Electron Limited Method for area selective deposition using a surface cleaning process
JP2022033558A (ja) * 2020-08-17 2022-03-02 東京エレクトロン株式会社 成膜方法および成膜システム
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20220081907A (ko) * 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 억제제를 사용한 위치 선택적 기상 증착
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
JP2022137698A (ja) * 2021-03-09 2022-09-22 東京エレクトロン株式会社 成膜方法および成膜システム
JP7339975B2 (ja) * 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JPWO2022264430A1 (zh) * 2021-06-18 2022-12-22
WO2023114640A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
JP2024047456A (ja) * 2022-09-26 2024-04-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
CN116351662A (zh) * 2023-03-31 2023-06-30 浙江大学 一种聚合物薄膜区域选择性沉积的方法

Family Cites Families (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
KR20010010172A (ko) 1999-07-16 2001-02-05 윤종용 베리어 메탈막을 캐핑막으로 구비하는 도전패턴 형성방법
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7557229B2 (en) 2002-11-15 2009-07-07 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) * 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8183081B2 (en) 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
EP2324509A2 (en) 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) * 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) * 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
GB2530193B (en) * 2013-06-27 2020-01-01 Intel Corp Non-lithographically patterned directed self assembly alignment promotion layers
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
GB2530195B (en) 2013-06-28 2018-12-12 Intel Corp Selective epitaxially grown III-V materials based devices
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
KR102138719B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
KR102241166B1 (ko) 2013-12-19 2021-04-16 인텔 코포레이션 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797858B (zh) * 2020-12-01 2023-04-01 美商慧盛材料美國責任有限公司 選擇性熱原子層沉積
TWI798970B (zh) * 2020-12-01 2023-04-11 美商慧盛材料美國責任有限公司 選擇性電漿強化原子層沉積

Also Published As

Publication number Publication date
US20220208542A1 (en) 2022-06-30
JP2020056104A (ja) 2020-04-09
CN110993482A (zh) 2020-04-10
US11830732B2 (en) 2023-11-28
US20240047197A1 (en) 2024-02-08
US11145506B2 (en) 2021-10-12
US20200105515A1 (en) 2020-04-02
KR20200038425A (ko) 2020-04-13

Similar Documents

Publication Publication Date Title
US11830732B2 (en) Selective passivation and selective deposition
JP7373636B2 (ja) 選択的パッシベーションおよび選択的堆積
US10923361B2 (en) Deposition of organic films
US9587307B2 (en) Enhanced deposition of noble metals
KR101540077B1 (ko) 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US7102235B2 (en) Conformal lining layers for damascene metallization
WO2001029891A1 (en) Conformal lining layers for damascene metallization
TW202140832A (zh) 氧化矽在金屬表面上之選擇性沉積
US20210358745A1 (en) Selective passivation and selective deposition
US20230140812A1 (en) Selective thermal deposition method
TW202311556A (zh) 選擇性鈍化及選擇性沉積
TW202403076A (zh) 有機材料之選擇性沉積
CN114613722A (zh) 使用抑制剂的拓扑选择性气相沉积
TW202041701A (zh) 金屬氧化物在金屬表面上之選擇性沉積