JP2010219106A - 基板処理方法 - Google Patents

基板処理方法 Download PDF

Info

Publication number
JP2010219106A
JP2010219106A JP2009061139A JP2009061139A JP2010219106A JP 2010219106 A JP2010219106 A JP 2010219106A JP 2009061139 A JP2009061139 A JP 2009061139A JP 2009061139 A JP2009061139 A JP 2009061139A JP 2010219106 A JP2010219106 A JP 2010219106A
Authority
JP
Japan
Prior art keywords
film
substrate processing
processing method
trimming
containing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009061139A
Other languages
English (en)
Other versions
JP5275094B2 (ja
JP2010219106A5 (ja
Inventor
Masato Kushibiki
理人 櫛引
Eiichi Nishimura
栄一 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009061139A priority Critical patent/JP5275094B2/ja
Priority to US12/720,197 priority patent/US8202805B2/en
Publication of JP2010219106A publication Critical patent/JP2010219106A/ja
Publication of JP2010219106A5 publication Critical patent/JP2010219106A5/ja
Application granted granted Critical
Publication of JP5275094B2 publication Critical patent/JP5275094B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】処理対象層に、半導体デバイスの小型化要求を満たす小さい寸法の開口部を形成することができる基板処理方法を提供する。
【解決手段】処理対象基板であるウエハWのマスク層としてのフォトレジスト膜53上に補強膜を形成すると共に、開口パターンを形成する線状部分の線幅aをトリミングする成膜トリミングステップは、フォトレジスト膜53の表面に1価のアミノシランを吸着させる吸着ステップと、吸着したアミノシランを、酸素をプラズマ励起した酸素ラジカルを用いて酸化し、これによってアミノシランをSi酸化膜に改質すると共にフォトレジスト膜53の線状部分の線幅aをトリミングする酸化ステップを有する。
【選択図】図2

Description

本発明は、基板処理方法に関し、特に、マスク層としての有機膜表面に補強膜を形成しつつ、開口パターンを形成する有機膜の線状部分の線幅を微細化する基板処理方法に関する。
シリコン基材上にCVD処理等によって形成された不純物を含む酸化膜、例えばTEOS(Tetra Ethyl Ortho Silicate)膜、導電膜、例えばTiN膜、反射防止膜(BARC膜)及びマスク層(フォトレジスト膜)が順に積層された半導体デバイス用のウエハが知られている(例えば、特許文献1参照)。フォトレジスト膜は、フォトリソグラフィにより所定のパターンに形成され、反射防止膜及び導電膜のエッチングの際に、マスク層として機能する。
近年、半導体デバイスの小型化が進む中、上述したようなウエハの表面における回路パターンをより微細に形成する必要性が生じてきている。このような微細な回路パターンを形成するためには、半導体デバイスの製造過程において、フォトレジスト膜におけるパターンの最小寸法を小さくして、小さい寸法の開口部(ビアホールやトレンチ)をエッチング対象膜に形成する必要がある。一方、フォトレジスト膜におけるパターンを小さくするために、例えば現像光による露光制御性を向上すべく透明性の高い材質を用いると、透明性の高い材質は硬度が低いためマスク層自体の強度が低下してパターン倒れの原因となり、十分なエッチング耐性が得られないという問題がある。例えば、パターンの線幅が10nm以下であってアスペクト比が大きい場合にこの傾向が強い。このように、フォトレジスト膜をはじめとするマスク層においては、パターンの微細化とエッチング耐性とはトレードオフ(二律背反)の関係にある。
ところで、半導体デバイスの製造過程において、マスク層の線状部分の線幅を微細化させるための従来技術が開示された公知文献として特許文献2が挙げられる。
特許文献2には、ウエハの下地層上に、電子線の照射によって凝縮する性質を示すレジスト材料を使用してレジスト膜を形成し、レジスト膜に露光処理を施して所定の線幅のレジストパターンを形成した後、電子線を照射してレジストパターンの線幅を縮小させるレジストパターンの形成方法が開示されている。
特開2006−190939号公報 特開2004−134553号公報
しかしながら、上記従来技術は、レジストパターンの線幅を微細化(以下、「トリミング」という。)できるものの、トリミングされたレジストパターンが補強されておらず、該レジストパターンが倒壊するという問題がある。従って、処理対象層に半導体デバイスの小型化要求を満たす小さい寸法の開口部を形成するのは困難である。
本発明の目的は、処理対象層に、半導体デバイスの小型化要求を満たす小さい寸法の開口部を形成することができる基板処理方法を提供することにある。
上記目的を達成するために、請求項1記載の基板処理方法は、処理対象層と、マスク層としての有機膜を有する基板を処理する基板処理方法であって、前記有機膜表面に補強膜を形成しつつ該有機膜の開口パターンを形成する線状部分の線幅を微細化する成膜トリミングステップを有することを特徴とする。
請求項2記載の基板処理方法は、請求項1記載の基板処理方法において、前記成膜トリミングステップは、前記有機膜表面にSi含有ガスを吸着させる吸着ステップと、吸着したSi含有ガスをSi酸化膜に改質すると共に前記有機膜の前記線幅を微細化する酸化ステップを有することを特徴とする。
請求項3記載の基板処理方法は、請求項2記載の基板処理方法において、前記Si含有ガスとして、1価のアミノシランを用いることを特徴とする。
請求項4記載の基板処理方法は、請求項2又は3記載の基板処理方法において、前記吸着ステップにおける処理圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることを特徴とする。
請求項5記載の基板処理方法は、請求項2乃至4のいずれか1項に記載の基板処理方法において、前記吸着ステップにおける処理温度は、20℃〜700℃であることを特徴とする。
請求項6記載の基板処理方法は、請求項2乃至5のいずれか1項に記載の基板処理方法において、前記酸化ステップにおいて、酸化ガスとして酸素含有ガスを用い、該酸素含有ガスをプラズマ励起した酸素ラジカルによって前記有機膜表面に吸着したSi含有ガスをSi酸化膜に改質すると共に前記有機膜の前記線幅を微細化することを特徴とする。
請求項7記載の基板処理方法は、請求項2乃至6のいずれか1項に記載の基板処理方法において、前記酸化ステップにおける処理圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることを特徴とする。
請求項8記載の基板処理方法は、請求項2乃至7のいずれか1項に記載の基板処理方法において、前記酸化ステップにおける処理温度は、20℃〜700℃であることを特徴とする。
請求項9記載の基板処理方法は、請求項1乃至8のいずれか1項に記載に基板処理方法において、前記有機膜における前記線状部分の線幅は、60nm以下であることを特徴とする。
請求項10記載の基板処理方法は、請求項1乃至9のいずれか1項に記載の基板処理方法において、前記成膜トリミングステップによって形成された前記補強膜の一部をエッチングして前記有機膜のトリミングされた前記線状部分を露出させる補強膜エッチングステップを有することを特徴とする。
請求項1記載の基板処理方法によれば、有機膜表面に補強膜を形成しつつ有機膜の線状部分の線幅を微細化するので、マスク層の開口パターンを形成する線状部分の線幅を補強しつつ微細化することができ、これにより、幅が微細化された開口パターンを有するマスク層を得ることができる。その結果、処理対象層に半導体デバイスの小型化要求を満たす小さい寸法の開口部を形成することができる。
請求項2記載の基板処理方法によれば、有機膜表面にSi含有ガスを吸着させた後、吸着したSi含有ガスをSi(シリコン)酸化膜に改質すると共に有機膜の線状部分の線幅を微細化するので、有機膜の線状部分の線幅をトリミングしながらその強度を向上させることができる。
請求項3記載の基板処理方法によれば、Si含有ガスとして、1価のアミノシランを用いるので、Si含有ガスが有機膜表面へ吸着し易くなって成膜トリミングステップにおける改質及び酸化のサイクルレートが向上する。
請求項4記載の基板処理方法によれば、吸着ステップにおける処理圧力を、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)としたので、有機膜表面へのSi含有ガスの吸着力が大きくなる。
請求項5記載の基板処理方法によれば、吸着ステップにおける処理温度を、20℃〜700℃としたので、形成されるSi酸化膜の膜質や膜厚の均一性が向上する。
請求項6記載の基板処理方法によれば、酸化ガスとして酸素含有ガスを用い、該酸素含有ガスをプラズマ励起した酸素ラジカルによって有機膜表面に吸着したSi含有ガスをSi酸化膜に改質すると共に有機膜の線状部分の線幅を微細化するようにしたので、改質及び線状部分のトリミングを促進することができる。
請求項7記載の基板処理方法によれば、酸化ステップにおける処理圧力を、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)としたので、酸素ラジカルが発生しやすくなり、しかも処理空間における酸素ラジカルの平均自由行程を大きくすることができ、もって、Si酸化膜への改質及び線状部分の微細化を促進できる。
請求項8記載の基板処理方法によれば、酸化ステップにおける処理温度を、20℃〜700℃としたので、有機膜表面に吸着したSi含有ガスのSi酸化膜への改質及び線状部分のトリミングを良好に行うことができる。
請求項9記載の基板処理方法によれば、有機膜における線状部分の線幅を、60nm以下としたので、有機膜の線状部分を補強膜で補強しつつ更にトリミングすることによって近年の半導体デバイスの小型化要請に応えることができる。
請求項10記載の基板処理方法によれば、成膜トリミング処理によって形成された補強膜の一部をエッチングして有機膜のトリミングされた線状部分を露出させるようにしたので、有機膜の線状部分の両面がSi酸化膜で補強されたものとなり、その後、露出した有機膜の線状部分を除去してSi酸化膜をサイドウォールとして機能させる等、処理対象層の加工の自由度が向上する。
本発明の実施の形態に係る基板処理方法に適用される成膜トリミング装置の概略構成を示す縦断面図である。 本発明の実施の形態に係る基板処理方法における成膜トリミング処理を示すフローチャートである。 図2の成膜トリミング処理の具体例を示す工程図である。
以下、本発明の実施の形態について図面を参照しながら詳述する。
まず、本発明の実施の形態に係る基板処理方法に適用される基板処理装置について説明する。この基板処理装置は、MLD(Molecular Layer Deposition)処理を利用して、基板としての半導体ウエハW(以下、単に「ウエハW」という。)の有機膜表面に補強膜を形成しながら開口パターンを形成する線状部分の線幅をトリミングする装置(以下、「成膜トリミング装置」という。)であって、プラズマ発生時の出力を、例えば500W〜3000Wまで増大させることができるようにしたものである。
図1は、本発明の実施の形態に係る基板処理方法に適用される成膜トリミング装置の概略構成を示す縦断面図である。この成膜トリミング装置は、バッチ式の装置であるが、枚葉式の装置を適用することもできる。
図1において、成膜トリミング装置10は、有天井で略円筒状の反応管12を備えている。反応管12は、耐熱及び耐腐食性に優れた材料、例えば、石英によって形成されている。
反応管12の外表面の一部には、反応管12の内部ガスを排気するための排気部13が設けられている。排気部13は、反応管12に沿って上方向に延び、複数の開口を介して反応管12の内部と連通している。排気部13の上端は、反応管12の頂部に設けられた排気口14に連結されている。排気口14には図示省略した圧力調整機構が設けられており、この圧力調整機構によって反応管12の内部圧力が所定の圧力(真空度)に調整される。
反応管12の下方には、例えば石英からなる蓋体15が配置されており、蓋体15は、エレベータ機構により上下動可能に構成されている。すなわち、蓋体15が上昇すると反応管12の下方側(炉口部分)が閉鎖され、下降すると開口される。蓋体15上には、例えば石英からなるウエハボート16が載置されており、ウエハボート16は、被処理基板であるウエハWが垂直方向に所定の間隔をおいて複数枚収容されている。反応管12の周囲には、図示省略した電熱ヒータが配置されており、この電熱ヒータによって反応管12の内部温度が調整される。
反応管12には、酸化ガスを導入する酸化ガス供給管18と、酸化ガス以外の処理ガスを導入する処理ガス供給管(図示省略)とが設けられている。酸化ガス供給管18は、プラズマ発生部17を介して反応管12に連結されている。従って、酸化ガスは、酸化ガス供給管18を流通し、プラズマ発生部17に設けられた一対の電極19によってプラズマ励起されて活性酸素(以下、「酸素ラジカル」という。)になり、その後、反応管12に導入される。
図示省略した処理ガス供給管は、酸化ガス供給管18と平行に配置され、直接反応管12に接続されている。処理ガス供給管としては、例えば、分散インジェクタが用いられる。反応管12に供給される処理ガスとしては、ソースガス、酸化ガス、希釈ガス、パージガス等がある。酸化ガス以外のソースガス、希釈ガス、パージガスは、処理ガス供給管を経て反応管12に導入される。
ソースガスは、被処理基板である有機膜の表面にソースとしてSi(シリコン)を吸着させるガスであり、Si含有ガスとして1価のアミノシラン、例えばSiH(NHC(CH)、SiH(N(CH)等が好適に適用される。酸化ガスは、被処理基板に吸着されたソース(Si)を酸化するガスであり、酸素含有ガスが用いられる。酸素含有ガスとしては、例えば純水の酸素(O)ガスが用いられるが、Oガスを、例えばNガス等で適宜希釈したガスを用いることもできる。希釈ガスは、処理ガスを希釈するガスであり、例えば窒素(N)ガスである。また、パージガスとしては、例えば窒素(N)ガス等の不活性ガスが用いられる。酸化ガス以外のガスは、プラズマ励起(活性化)されない。酸化ガス供給管18及び処理ガス供給管には、垂直方向に所定間隔の供給孔が複数設けられており、供給孔から反応管12内に処理ガスが供給される。
成膜トリミング装置10は図示省略した制御部を有し、制御部は成膜トリミング装置10の各構成部材及び必要に応じて付設された各種センサ等を制御する。
以下に、成膜トリミング装置10において実行される本発明の実施の形態に係る基板処理方法としての成膜トリミング処理について詳細に説明する。
成膜トリミング処理は、MLD処理を利用してウエハWのフォトレジスト膜上に酸化膜からなる補強膜を形成しつつ、マスク層の開口パターンを形成する線状部分の線幅をトリミングするものである。成膜トリミング処理は、ウエハWのフォトレジスト膜に対して成膜トリミング処理を施す成膜トリミング処理用プログラムに応じて成膜トリミング装置の制御部が実行する。
図2は、本発明の実施の形態に係る基板処理方法における成膜トリミング処理を示すフローチャートである。
図2において、成膜トリミング処理を実行する際、まず、図1の成膜トリミング装置10の反応管12内に、図示省略した処理ガス供給管からNガスを直接導入して反応管12内をパージする(ステップS1)。次いで、複数枚のウエハWを収容したウエハボート16を蓋体15上に載置し、蓋体15を上昇させてウエハWを反応管12内に収容する(ステップS2)。
次に、反応管12内を、例えば400℃、6.65×10Pa(500mTorr)に調整し(ステップS3)、この状態で処理ガス供給管からSi含有ガスとして、例えば1価のアミノシランであるSiH(NHC(CH)ガスを導入してウエハWのフォトレジスト膜の表面に吸着させる(ステップS4)(吸着ステップ)。フォトレジスト膜表面にSi含有ガスを吸着させた後、処理ガス供給管からNガスを導入して反応管12内の余剰のSi含有ガス等をパージする(ステップS5)。
次いで、余剰のSi含有ガス等がパージされた反応管12内の温度を、例えば400℃に設定し、Nガスを供給する等して内部圧力を、例えば6.65×10Pa(500mTorr)に調整する(ステップS6)。その後、酸化ガス供給管18を介して、例えばOガスを供給し、プラズマ発生部17の電極19間に、例えば500W〜3000Wの高周波電力を印加して酸素をプラズマ励起して酸素ラジカルを発生させ、発生した酸素ラジカルを反応管12に供給してフォトレジスト膜に付着したSi含有ガスを酸化し、これによってSi含有ガスをSi酸化膜に改質してフォトレジスト膜を補強すると共に線状部分をトリミングする(ステップS7)(酸化ステップ)。
このとき、Si含有ガス中のC成分及びH成分は、例えばCO又はHOとして飛散し、Siは、例えばSiOとなる。また、マスク膜の開口パターンを形成する線状部分のC成分がCOとして飛散する。これにより、フォトレジスト膜の線状部分の表面をSi酸化膜に改質すると共に、当該線状部分をトリミングする。その後、酸素含有ガスの導入を停止し、処理ガス供給管からNガスを導入して反応管12内をパージして成膜トリミング処理の1サイクルを終了する。
次いで、成膜トリミング処理を再度繰り返すか否かの判定を行い(ステップS8)、成膜トリミング処理が完了するまで、ステップS1〜ステップS7を繰り返し、成膜トリミング処理が完了した時点で本処理を終了する。
図2の処理によれば、ウエハWのフォトレジスト膜表面にSi含有ガスを吸着させ(吸着ステップ)、吸着したSi含有ガスを、酸素をプラズマ励起した酸素ラジカルによって酸化させてSi酸化膜に改質する。また、改質の際、線状部分のC成分が飛散して線状部分がトリミングされる(酸化ステップ)。従って、処理開始時、例えば30nmであったフォトレジスト膜(マスク層)の開口パターンを形成する線状部分の線幅を、例えば7nm程度まで縮小することができる。また、このとき線状部分の両側をSi酸化膜によって補強することができるので、トリミングされた線状部分のパターン倒れを起こすこともない。
また、図2の処理によれば、Si酸化膜の形成と、フォトレジスト膜の線状部分のトリミングを同時に行うことができるので、フォトレジスト膜の線状部分をトリミングした後、線状部分を挟持するようにサイドウォールを形成する従来技術に比べて、処理工程数を減少させることができる。また、従来技術のように、フォトレジストの線状部分をトリミングした後、サイドウォールを形成するために、ウエハを別の基板処理装置に移動させる必要がないので、ディフェクト(欠陥)の発生頻度を低減することもできる。
本実施の形態において、成膜トリミング処理が施されるフォトレジスト膜は、開口パターンを形成する線状部分の線幅が、例えば60nm以下の有機膜である。線幅が100nmを超えると、トリミング量が大きすぎて実用的でなくなる。
図2の成膜トリミング処理は、吸着ステップによってフォトレジスト膜にSi含有ガスを吸着させた後、酸化ステップによってSi含有ガスをSi酸化膜に改質するものであるが、Si含有ガスをSi酸化膜に改質する際、Si酸化膜が次第に厚くなるに伴って開口パターンを形成する線状部分の線幅が次第にスリム化する。このような成膜トリミング処理は、吸着ステップにおいて、Si含有ガスがフォトレジスト膜表面に均一に吸着するので、これが酸化されたSi酸化膜は、均質且つ均等厚さの補強膜となる。
図2の処理において、ステップS1〜ステップS7までの処理サイクルは、通常、数十〜数百回繰り返される。成膜トリミング処理の終了時点は、例えば経験上、上記の処理サイクルを何回繰り返せば目的のマスク層が得られるかを参考にサイクル数を決定し、そのサイクル数が終了した時点で成膜トリミング処理が終了したものとみなすようにしてもよい。この場合、フォトレジスト膜の線状部分の線幅は、繰り返しのサイクル数、反応管内の温度、圧力、酸素ラジカル発生時の電力等によって変動する。
図2の処理において、吸着ステップにおける反応管の内部温度は、20℃〜700℃であることが好ましい。反応管の内部温度が20℃よりも低いと吸着ステップにおけるSi含有ガスのフォトレジスト膜への付着が不十分になる虞があり、700℃を越えると酸化ステップ後のSi酸化膜の膜質又は膜厚が不均一になる虞がある。吸着ステップにおける反応管の内部温度が、20℃〜700℃であれば、Si含有ガスのフォトレジスト膜への吸着状態が良好で、均質のSi酸化膜を均等厚で形成することができる。
図2の処理において、吸着ステップにおける反応管12の内部圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることが好ましい。これによって、フォトレジスト膜へのSi含有ガスの吸着状態が良好となる。
図2の処理において、酸化ステップにおける酸素含有ガスの供給量は、適用される酸素含有ガス中の酸素濃度、プラズマ励起状態等によって異なるが、フォトレジスト膜に付着したSi含有ガスを十分にSi酸化膜に改質することができ、且つ線状部分の線幅を所定の値、例えば7nm程度までトリミングできる量であることが好ましい。
図2の処理において、酸化ステップにおけるプラズマ発生部17の高周波電源の出力は、例えば500W〜3000Wであることが好ましく、プラズマ発生部17の圧力は、例えば0.133Pa(1mTorr)〜1.33×10Pa(1000mTorr)であることが好ましい。これによって、プラズマが良好に発生し、且つ反応管12内に十分な酸素ラジカルを供給することができる。
図2の処理において、酸化ステップにおける反応管12の内部圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることが好ましい。この範囲の圧力にすることにより、フォトレジスト膜表面に吸着したSi含有ガスを良好にSi酸化膜に改質すると共に、線状部分を良好にトリミングすることができる。
図2の処理において、酸化ステップにおける処理温度は、20℃〜700℃であることが好ましい。この温度範囲であれば、フォトレジスト膜表面に吸着したSi含有ガスを良好にSi酸化膜に改質することができ、また調整も容易である。
図2の処理において、Si含有ガスとして1価のアミノシランを適用したが、2価又は3価のアミノシランを適用することもできる。2価のアミノシランとしては、例えばBTBAS:SiH(NHC(CH、3価のアミノシランとしては、例えば3DMAS:SiH(N(CHが挙げられる。
図2の処理において、成膜トリミング処理後のウエハWに対し、例えば、補強膜としてのSi酸化膜の一部をエッチングしてトリミングされた線状部分を露出させる補強膜エッチングステップを有することが好ましい。補強膜エッチングステップを施した後、露出した線状部分をエッチングして消失させることによって、ダブルパターニングと同様の効果が得られる幅が微細化された開口パターンを有する、補強膜としてのSi酸化膜からなるマスク層を得ることができる。
図3は、図2の成膜トリミング処理の具体例を示す工程図である。図3(A)において、このウエハWは、シリコン基材50の表面に形成された処理対象層としてのポリシリコン膜51と、ポリシリコン膜51上に形成されたフォトレジスト膜(マスク層)53とを有する。フォトレジスト膜53には、所定の開口部54が設けられている。
そして、処理開始前、線状部分の線幅aが30nmであったフォトレジスト膜53に対して成膜トリミング処理を施すと、図3(B)に示したように、線状部分の線幅aが10nm以下までトリミングされると共に、フォトレジスト膜53の線状部分がSi酸化膜55によって補強される。その後、ウエハWをエッチング処理装置に移して、まず、CFガスを含む処理ガスのプラズマを用いてSi酸化膜55の一部を除去してフォトレジスト膜53を露出させ、さらに、Oガスを含む処理ガスのプラズマを用いて該露出したフォトレジスト膜53を除去する。これにより、図3(C)に示すように、2つのSi酸化膜55が対をなす、幅が微細化された開口パターンを有するマスク層を得ることができる(ダブルパターニング)。その後、該マスク層を用いてポリシリコン膜51をエッチングすることにより、該ポリシリコン膜51に半導体デバイスの小型化要求を満たす小さい寸法の開口部を形成することができる。
また、本実施の形態において、成膜トリミング処理後の線状部分の両側に形成された補強膜55を、近年の半導体製造技術であるサイドウォール方法におけるサイドウォールとして利用することもできる。このとき、サイドウォール相互間の間隔は、線状部分の線幅のトリミングの程度を制御することによって、換言すれば、成膜するSi酸化膜の膜厚を制御することによって調整することができる。
本実施の形態において、有機膜がフォトレジスト膜である場合について説明したが、成膜トリミング処理される有機膜は、フォトレジスト膜に限定されるものではなく、マスク層として機能する他の有機膜であってもよい。また、有機膜は、被処理基板の表層に限らず、中間層であってもよい。
上述した実施の形態において、プラズマ処理が施される基板は半導体デバイス用のウエハに限られず、LCD(Liquid Crystal Display)を含むFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。
また、本発明の目的は、上述した実施の形態の機能を実現するソフトウェアのプログラムコードを記憶した記憶媒体を、システム或いは装置に供給し、そのシステム或いは装置のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出し実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上述した各実施の形態の機能が実現されるだけではなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
10 基板処理装置(成膜トリミング装置)
12 反応管
17 プラズマ発生部
18 酸化ガス供給管
50 シリコン基材
51 アモルファスカーボン膜
53 フォトレジスト膜
54 開口部
55 Si酸化膜

Claims (10)

  1. 処理対象層と、有機膜とを有する基板を処理する基板処理方法であって、前記有機膜表面に補強膜を形成しつつ開口パターンを形成する該有機膜の線状部分の線幅を微細化する成膜トリミングステップを有することを特徴とする基板処理方法。
  2. 前記成膜トリミングステップは、前記有機膜表面にSi含有ガスを吸着させる吸着ステップと、吸着したSi含有ガスをSi酸化膜に改質すると共に前記有機膜の前記線幅を微細化する酸化ステップを有することを特徴とする請求項1記載の基板処理方法。
  3. 前記Si含有ガスとして、1価のアミノシランを用いることを特徴とする請求項2記載の基板処理方法。
  4. 前記吸着ステップにおける処理圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることを特徴とする請求項2又は3記載の基板処理方法。
  5. 前記吸着ステップにおける処理温度は、20℃〜700℃であることを特徴とする請求項2乃至4のいずれか1項に記載の基板処理方法。
  6. 前記酸化ステップにおいて、酸化ガスとして酸素含有ガスを用い、該酸素含有ガスをプラズマ励起した酸素ラジカルによって前記有機膜表面に吸着したSi含有ガスをSi酸化膜に改質すると共に前記有機膜の前記線幅を微細化することを特徴とする請求項2乃至5のいずれか1項に記載の基板処理方法。
  7. 前記酸化ステップにおける処理圧力は、1.33×10−1Pa(1mTorr)〜1.33×10kPa(100Torr)であることを特徴とする請求項2乃至6のいずれか1項に記載の基板処理方法。
  8. 前記酸化ステップにおける処理温度は、20℃〜700℃であることを特徴とする請求項2乃至7のいずれか1項に記載の基板処理方法。
  9. 前記有機膜における前記線状部分の線幅は、60nm以下であることを特徴とする請求項1乃至8のいずれか1項に記載に基板処理方法。
  10. 前記成膜トリミングステップによって形成された前記補強膜の一部をエッチングして前記有機膜のトリミングされた前記線状部分を露出させる補強膜エッチングステップを有することを特徴とする請求項1乃至9のいずれか1項に記載の基板処理方法。
JP2009061139A 2009-03-13 2009-03-13 基板処理方法 Active JP5275094B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009061139A JP5275094B2 (ja) 2009-03-13 2009-03-13 基板処理方法
US12/720,197 US8202805B2 (en) 2009-03-13 2010-03-09 Substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009061139A JP5275094B2 (ja) 2009-03-13 2009-03-13 基板処理方法

Publications (3)

Publication Number Publication Date
JP2010219106A true JP2010219106A (ja) 2010-09-30
JP2010219106A5 JP2010219106A5 (ja) 2012-04-26
JP5275094B2 JP5275094B2 (ja) 2013-08-28

Family

ID=42731082

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009061139A Active JP5275094B2 (ja) 2009-03-13 2009-03-13 基板処理方法

Country Status (2)

Country Link
US (1) US8202805B2 (ja)
JP (1) JP5275094B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2012138492A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2017171664A (ja) * 2011-05-24 2017-09-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 有機アミノシラン前駆体、並びにその製造方法及び使用方法
JP2018186269A (ja) * 2017-04-24 2018-11-22 ラム リサーチ コーポレーションLam Research Corporation パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8860184B2 (en) * 2011-12-29 2014-10-14 Intel Corporation Spacer assisted pitch division lithography
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07321091A (ja) * 1994-05-19 1995-12-08 Sanyo Electric Co Ltd エッチング方法及び配線形成方法
JPH07326562A (ja) * 1994-06-01 1995-12-12 Ryoden Semiconductor Syst Eng Kk 微細パターンの形成方法
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
WO2008149987A1 (ja) * 2007-06-07 2008-12-11 Tokyo Electron Limited パターニング方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0271370A (ja) * 1988-09-06 1990-03-09 Hitachi Ltd 図形の表示・編集方式
JPH04223794A (ja) * 1990-12-26 1992-08-13 Nec Eng Ltd 被保留者保留解除要求方式
JP2004134553A (ja) 2002-10-10 2004-04-30 Sony Corp レジストパターンの形成方法及び半導体装置の製造方法
US7077903B2 (en) * 2003-11-10 2006-07-18 International Business Machines Corporation Etch selectivity enhancement for tunable etch resistant anti-reflective layer
KR100632658B1 (ko) 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07321091A (ja) * 1994-05-19 1995-12-08 Sanyo Electric Co Ltd エッチング方法及び配線形成方法
JPH07326562A (ja) * 1994-06-01 1995-12-12 Ryoden Semiconductor Syst Eng Kk 微細パターンの形成方法
WO2008008338A2 (en) * 2006-07-10 2008-01-17 Micron Technology Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
WO2008149987A1 (ja) * 2007-06-07 2008-12-11 Tokyo Electron Limited パターニング方法
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
JP2009016814A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
JP2012138492A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2017171664A (ja) * 2011-05-24 2017-09-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 有機アミノシラン前駆体、並びにその製造方法及び使用方法
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
JP2018186269A (ja) * 2017-04-24 2018-11-22 ラム リサーチ コーポレーションLam Research Corporation パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
JP7165506B2 (ja) 2017-04-24 2022-11-04 ラム リサーチ コーポレーション パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
JP2023012492A (ja) * 2017-04-24 2023-01-25 ラム リサーチ コーポレーション パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
JP7460727B2 (ja) 2017-04-24 2024-04-02 ラム リサーチ コーポレーション パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源

Also Published As

Publication number Publication date
US8202805B2 (en) 2012-06-19
JP5275094B2 (ja) 2013-08-28
US20100233885A1 (en) 2010-09-16

Similar Documents

Publication Publication Date Title
JP5275094B2 (ja) 基板処理方法
JP5275093B2 (ja) 基板処理方法
JP4659856B2 (ja) 微細パターンの形成方法
JP4589984B2 (ja) 微細パターンの形成方法
JP4638550B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5682290B2 (ja) 炭素含有薄膜のスリミング方法及び酸化装置
JP5113830B2 (ja) アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体
KR101423019B1 (ko) 미세 패턴의 형성 방법
TWI545625B (zh) 半導體裝置的製造方法,基板處理裝置及記錄媒體
CN108531887B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP2005303265A (ja) 低温ポリシリコンtftゲート酸化膜の形成方法
JP5344824B2 (ja) レジストパターンの形成方法および記録媒体
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5390764B2 (ja) レジストパターンの形成方法と残存膜除去処理システムおよび記録媒体
JPH118233A (ja) 半導体製造工程時の無機層の形成方法
KR20170045950A (ko) 반도체 장치의 제조방법 및 반도체 공정 챔버의 세정방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120309

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120309

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130424

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130515

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5275094

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250