JP2023012492A - パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源 - Google Patents

パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源 Download PDF

Info

Publication number
JP2023012492A
JP2023012492A JP2022169566A JP2022169566A JP2023012492A JP 2023012492 A JP2023012492 A JP 2023012492A JP 2022169566 A JP2022169566 A JP 2022169566A JP 2022169566 A JP2022169566 A JP 2022169566A JP 2023012492 A JP2023012492 A JP 2023012492A
Authority
JP
Japan
Prior art keywords
mhz
plasma
carbon
gas
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022169566A
Other languages
English (en)
Other versions
JP7460727B2 (ja
Inventor
アドリアン・ラボワ
Lavoie Adrien
プルキット・アガワル
Agarwal Pulkit
プルショッタム・クマル
Kumar Purushottam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/582,359 external-priority patent/US9997371B1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023012492A publication Critical patent/JP2023012492A/ja
Application granted granted Critical
Publication of JP7460727B2 publication Critical patent/JP7460727B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Abstract

【課題】エッチング対象の層の上に炭素含有材料をパターニングする方法及び装置を提供する。【解決手段】炭素含有材料をトリミングすることを伴う原子層エッチング方法であって、炭素含有材料の表面を改質するために、プラズマを伴うことなく炭素含有材料を酸素含有ガスに暴露し、炭素含有材料の改質表面を除去するために、炭素含有材料を不活性ガスに暴露し、プラズマを着火し、原子層エッチングを使用してパターニングされた炭素含有材料の上に、真空を破ることなく共形膜を堆積させる。酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気及び/又は二酸化炭素のうちの任意の1種類以上を含有している。半導体基板を処理するための装置は、27MHz及び/若しくは13MHzの容量結合プラズマ並びに/又は遠隔プラズマなどの誘導結合プラズマを含む。【選択図】図3

Description

高度な集積回路の製作は、多くの場合、半導体の大量生産において小さなフィーチャ(feature)をパターニングすることを伴う。マルチパターニング技術は、193nm液浸リソグラフィなどのリソグラフィ技術に基づくフィーチャサイズのスケーリングを可能にするだろう。マルチパターニング技術の一例に、自己整合式のダブルパターニングがある。マルチパターニングは、材料のエッチングを伴い、このエッチングは、通常は、従来の異方性エッチング技術によって実施される。
本書で提供されるのは、半導体基板を処理する方法である。一態様は、半導体基板を処理する方法を伴い、該方法は、(a)炭素含有フィーチャのパターンを有する炭素含有材料を含む半導体基板をチャンバに提供し、(b)微小寸法を縮小し、垂直側壁を有するトリミングされた炭素含有フィーチャを形成するために、炭素含有フィーチャを原子層エッチングによってトリミングする、ことを含み、原子層エッチングは、(i)炭素含有材料の表面を改質するために、プラズマを伴うことなく炭素含有フィーチャの表面を酸素含有ガスに暴露し、(ii)炭素含有フィーチャの改質表面を除去するために、炭素含有フィーチャの改質表面を不活性ガスに暴露し、プラズマを着火する、ことを含む。
各種の実施形態では、酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、及び/又は二酸化炭素のうちの任意の1種類以上を含有したものであってよい。不活性ガスは、ヘリウム、窒素、アルゴン、及びこれらの組み合わせのうちの任意であってよい。
各種の実施形態では、炭素含有フィーチャは、スピンオン炭素、フォトレジスト、及び非晶質炭素のうちの任意を含む。
チャンバは、約1Torrから約10Torrの間のチャンバ圧力に設定されてよい。一部の実施形態では、プラズマは、約50Wから250Wの間のプラズマ電力を使用して着火される。
方法は、プラズマを伴うことなく炭素含有フィーチャの表面を酸素含有ガスに暴露することと、炭素含有フィーチャの表面を不活性ガスに暴露しプラズマを着火することとの間に、チャンバをパージすることも含んでいてよい。チャンバは、約0.1秒から約0.5秒の間の持続時間にわたってパージされてよい。
一部の実施形態では、原子層エッチングは、(i)と(ii)とをサイクル単位で繰り返すことも含む。例えば、一部の実施形態では、約5サイクルから約100サイクルの間のサイクル数が実施される。
方法は、また、トリミングされた炭素含有フィーチャを形成した後に、(c)トリミングされた炭素含有フィーチャの上に、真空を破ることなく原子層堆積によって膜を共形的に堆積させることも含んでいてよい。共形的に堆積される膜は、シリコン酸化物、シリコン窒化物、シリコン炭化物、及び金属酸化物のうちの任意の1種類以上などの材料を含んでいてよい。一部の実施形態では、トリミングと共形膜堆積とが、同じチャンバ内で実施される。
一部の実施形態では、方法は、また、基板を提供した後で且つ炭素含有フィーチャをトリミングする前に、半導体基板を約35℃から約100℃の間の温度に加熱することも含む。
各種の実施形態では、チャンバに提供された半導体基板上における炭素含有フィーチャのパターンのフィーチャのアスペクト比は、約6:1から約10:1の間である。
各種の実施形態では、酸素含有ガスへの炭素含有フィーチャの表面の暴露は、更に、ヘリウム、窒素、アルゴン、及びこれらの組み合わせのうちの1種類以上などのキャリアガスを導入することを含む。
別の一態様は、半導体基板を処理するための装置を伴い、該装置は、(a)台座をそれぞれ含む1つ以上のプロセスチャンバと、(b)真空に結合するための1つ以上の出口と、(c)1つ以上の酸素含有ガス源及び関連の酸素含有ガス流量制御ハードウェアに結合された1つ以上のガス入口と、(d)1つ以上の不活性ガス源及び関連の不活性ガス流量制御ハードウェアに結合された1つ以上のガス入口と、(e)プラズマ生成器と、(f)装置における動作を制御するためのコントローラとを含み、該コントローラは、少なくとも1つのプロセッサ、及びメモリを、これらが互いに通信可能式に接続されるように含み、少なくとも1つのプロセッサは、流量制御ハードウェアに少なくとも動作可能式に接続され、メモリは、酸素含有ガスを第1のプロセスチャンバに導入するためのコンピュータ実行可能命令と、真空を破ることなく(i)及び(ii)が実施されるように不活性ガスを導入しプラズマを着火するためのコンピュータ実行可能命令とを格納する。
一部の実施形態では、装置は、更に、シリコン含有前駆体ガス源に結合された1つ以上のガス入口と、シリコン含有前駆体ガスと反応させるための酸素含有反応剤に結合された1つ以上のガス入口とを含み、メモリは、更に、(iii)nが5及び100を含む5から100の間の整数であるときにnサイクルにわたって(i)と(ii)とを繰り返すためのコンピュータ実行可能命令と、(iv)(iii)を繰り返した後、原子層堆積によってシリコン酸化物膜を堆積させるためにシリコン含有前駆体のパルスと酸素含有反応剤のパルスとを交互に導入するためのコンピュータ実行可能命令とを格納し、(i)~(iv)は、真空を破ることなく実施される。
各種の実施形態では、装置は、27MHz及び/若しくは13MHzの容量結合プラズマ、並びに/又は遠隔プラズマを例とする誘導結合プラズマなどの、代替のエネルギ源を含んでいてよい。
一部の実施形態では、装置は、発光分光センサも含む。
図面を参照にして、以下で、これらの及びその他の態様が更に説明される。
クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。 クアッドパターニング方式の一例における、基板の説明図である。
原子層エッチングの一例の説明図である。
開示された特定の実施形態にしたがって実施される方法のための動作を示したプロセス流れ図である。
開示された特定の実施形態にしたがって実施されるクアッドパターニング方式の一例における、基板の説明図である。 開示された特定の実施形態にしたがって実施されるクアッドパターニング方式の一例における、基板の説明図である。 開示された特定の実施形態にしたがって実施されるクアッドパターニング方式の一例における、基板の説明図である。 開示された特定の実施形態にしたがって実施されるクアッドパターニング方式の一例における、基板の説明図である。 開示された特定の実施形態にしたがって実施されるクアッドパターニング方式の一例における、基板の説明図である。
開示された特定の実施形態にしたがって実施される動作の一例を示したタイミング説明図である。
開示された特定の実施形態にしたがった又は開示された特定の実施形態の実施に適したプロセスチャンバの一例の説明図である。
開示された特定の実施形態にしたがった又は開示された特定の実施形態の実施に適した処理ツールの一例の説明図である。
特定の実施形態にしたがった又は特定の実施形態にしたがったプロセスの実施に適した処理システムのブロック図である。
特定の実施形態にしたがった又は特定の実施形態にしたがったプロセスの実施に適した代替の処理システムのブロック図である。
以下の説明では、提示される実施形態の完全な理解を可能にするために、数々の具体的詳細が特定される。開示される実施形態は、これらの具体的詳細の一部又は全部を伴わずとも実施されえる。また、開示される実施形態を不必要に不明瞭にしないために、周知のプロセス動作は、詳細に説明されていない。開示される実施形態は、具体的な実施形態に関連付けて説明されるが、開示される実施形態を制限することを意図していないことが理解される。
多くの半導体生産プロセスでは、パターニング方法が使用される。具体的には、リソグラフィ技術を拡張してその光学的限界を超えさせるために、マルチパターニングが使用されてきた。リソグラフィ技術を拡張してその光学的限界を超えさせるために使用される技術の例に、ダブルパターニング及びクアッドパターニングがあり、ダブルパターニングは、今や、約80nm未満のピッチ用に業界で広く使用されている。現行のダブルパターニング技術は、コアパターンを形成するためにコア材料をパターニングすることを含む。トリミングは、コアパターンの微小寸法を縮小するためのプロセスである。トリミングプロセスは、多くの場合、リソグラフィによってパターンを画定した後に微小寸法を縮小するために使用され、リソグラフィ技術を拡張してその光学的限界を超えさせるために使用されてよい。例えば、トリミングプロセスは、基板上におけるフィーチャの微小寸法を縮小する。
コア材料は、フォトレジスト、スピンオン炭素、及び非晶質炭素を含み、ただし、これらに限定はされない。トリミングは、炭素含有コア材料のエッチングを伴い、これは、様々なプラズマ環境内で成すことができる。フォトレジストトリミングのための従来の技術は、粗さ(例えばラインエッジ粗さ)を低減するために非酸化プラズマを使用してフォトレジストを硬化させ、フォトレジストの外形を修正するために酸化プラズマを使用してフォトレジストをエッチングすることを伴う。酸化プラズマは、なかでも特に、イオン及びラジカルを含み、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、二酸化炭素、又はこれらのうちの任意の2種類以上の混合を含有するものなどの酸素含有ガスを、アルゴン、ヘリウム、又は窒素などの希釈ガスとともに導入することによって生成できる。従来の技術には、フォトレジストをエッチングするために塩素含有プラズマ又は臭素含有プラズマの使用を含むものもある。しかしながら、従来の技術は、イオンによって誘発される損傷ゆえに、プラズマエッチングプロセス中に等方的な損傷を引き起こす。この現象は、「フッティング(footing)」と呼ばれる。その一例が、図1A~1Iに示された一連の基板で提供されている。
図1Aは、リソグラフィによって画定された、即ちパターニングされた第1のコア101を第2のコア103、第3のコア105、及びターゲット層107の上に有する基板100を示している。当業者ならば、本書で説明される半導体処理に適した多層積層体が、エッチング停止層、キャップ層、障壁層、及びその他の下位層などの、その他の層も含んでいてよいことがわかる。
パターニングされた第1のコア101は、炭素含有材料又はシリコン含有材料であってよい。一部の実施形態では、パターニングされた第1のコア101は、フォトレジストである。パターニングされた第1のコア101は、リソグラフィによって画定され、第2のコア103をエッチングするために使用される。第2のコア103は、プラズマ強化式化学気相成長(PECVD)などの任意の適切な堆積技術によって堆積されてよく、該堆積技術は、炭化水素前駆体を含む堆積ガスから堆積チャンバ内でプラズマを発生させることを伴ってよい。炭化水素前駆体は、式Cxyで定義されてよく、ここでは、xは、2から10の間の整数であり、yは、2から24の間の整数である。例として、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、及びトルエン(C78)が挙げられる。高周波数(HF)電力及び低周波数(LF)電力を含む二重無線周波数(RF)プラズマ源が使用されてよい。
第2のコア103の下は、第3のコア105であり、該第3のコアも、PECVDによって堆積された炭素含有材料であってよい。
第3のコア105の下は、ターゲット層107である。ターゲット層107は、最終的にパターニングされる層であってよい。ターゲット層107は、半導体層、誘電体層、又はその他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、又は窒化チタン(TiN)で作成されてよい。ターゲット層107は、原子層堆積(ALD)、PEALD、化学気相成長(CVD)、又はその他の適切な堆積技術によって堆積されてよい。
図1Bでは、パターニングされた第2のコア113を形成するために、第2のコア103は、パターニングされた第1のコア101をマスクとして使用してエッチングされ、パターニングされた第1のコア101は、除去される。パターニングされた第2のコア113の上に、第1の共形膜109が堆積される。第1の共形膜109は、一部の実施形態では、ALD又はPEALDによって堆積されてよい。第1の共形膜109は、酸化シリコン(SiO2)若しくは酸化チタン(TiO2)などの酸化物であってよい、又は窒化シリコン(SiN)などの窒化物であってよい。
パターニングされた第2のコア113は、図1Cに示されるように第1の共形膜109をエッチングして第1のスペーサ119を形成することによって、露出される。第1のスペーサ119のパターンは、後続の層をパターニングするために使用される。本書で使用される「スペーサ」という用語は、コア材料に隣接するマスク材料を意味する。
図1Dでは、パターニングされた第2のコア113は、選択的にエッチングされ、基板100の上に、自立した第1のスペーサ119が残される。本書で使用される選択的除去又は選択的エッチングは、一方の材料を他方の材料に対して選択的にエッチングすることとして定義される。例えば、図1Dでは、パターニングされた第2のコア113は、第1のスペーサ119に対して及び第3のコア105に対して選択的にエッチングされる。一部の実施形態において、もし、第1の材料が第2の材料に対して選択的にエッチングされるならば、その第1の材料のエッチング速度は、所定の持続時間にわたって第2の材料よりも多くの第1の材料がエッチングされるように、第2の材料のエッチング速度よりも速くなる。
第1のスペーサ119のパターンは、一部の実施形態では、約30nmから約50nmのピッチを有してよい。微小寸法がかなり大きいので、これらの大きめの微小寸法では、ピッチウォーキング(pitch walking)のリスクがほとんどない。
図1Eでは、第3のコア105は、第1のスペーサ119をマスクとして使用さいてエッチングされ、それによって、パターンが第3のコア105に転写され、パターニングされた第3のコア115を形成する。パターニングされた第3のコア115は、第3のコア105のエッチングには適しているが第1のスペーサ119のエッチングには適していない化学剤を使用してエッチングされてよい。パターニングされた第3のコア115は、非晶質炭素層、非晶質シリコン層、又はポリ(メチルメタクリレート)若しくはポリ(メチルグルタルイミド)(PMGI)若しくはフェノールホルムアルデヒド樹脂などのフォトレジストであってよい。
図1Fでは、従来の技術を使用してコア材料をトリミングすることによって、パターニングされた第3のコア115の微小寸法が縮小される。パターニングされた第3のコア115は、酸素含有ガスを着火してバイアスを加えることによって等方性プラズマエッチングを施され、その結果、トリミングされた先細の第3のコア135が得られる。一部の実施形態では、バイアスが加えられない。輪郭125は、パターニングされた第3のコア115の外形を、トリミングされた第3のコア135と比較して示している。しかしながら、第3のコア115のトリミングは、従来、等方性プラズマエッチングプロセスを使用して実施されるので、例えば矢印180によって示された、パターニングされた第3のコア115とターゲット層107とが交わる隅をエッチングすることは難しく、それゆえに、図1Fに示されるように、トリミングされた第3のコア135の側壁が傾く先細のフッティング効果が生じる。これは、90度を超える角度で側壁がターゲット層107の表面と交わる結果を招く。多くの実施形態では、側壁は、トリミングされた第3のコア135の側壁がターゲット層107の表面と交わる角度が約90度であるように、実質的に垂直であることが望まれる。
トリミングプロセスは、トリミングされた第3のコア135の外形に影響を及ぼし、これは、図1G~1Iに関連して以下で更に説明されるように、後続の処理外形に影響を及ぼす。
図1Gでは、トリミングされた第3のコア135の上に、第2の共形膜120が堆積される。トリミングされた第3のコア135は、傾斜した側壁を有するので、第2の共形膜120も、トリミングされた第3のコア135の側壁に沿って傾斜している。第2の共形膜120は、ALD又はPEALDによって堆積される誘電体材料であってよい。例えば、一部の実施形態では、第2の共形膜120は、酸化シリコンであってよい。一部の実施形態では、第2の共形膜120は、第1の共形膜109と同じ又は類似の組成を有してよい。なお、留意すべきは、従来の技術では、図1Fに関連して上述されたトリミングプロセスが、共形膜120の堆積とは別のエッチングツール又はエッチングチャンバ内で実施されることであり、これは、スループット及び効率を低下させることがある。
図1Hでは、第2の共形膜120は、トリミングされた第3のコア135の側面に第2のスペーサ121を形成するために、指向性エッチングを施される。第2のスペーサ121の側壁は、トリミングされた第3のコア135の側壁の傾斜が原因で、傾斜している。
図1Iでは、トリミングされた第3のコア135は、引き続きターゲット層107をエッチングするために使用される自立した第2のスペーサを残すために、選択的に除去され、その結果、パターンターゲット層127が得られる。一部の実施形態では、トリミングされた第3のコア135の側壁の傾斜が原因で、基板100上に、残留した第3のコア材料145が幾らか残されることがある。トリミングされた第3のコア135は、図1Dにおけるパターニングされた第2のコア113の選択的エッチングと同じ又は類似の化学剤を使用して選択的にエッチングされてよい。図1Iに示されるように、先立つコアトリミングプロセスからの先細のフッティングが原因で、得られるターゲット層127のパターンには、一貫性が無く、したがって、トリミングされた第3のコア135のフッティングが原因で、側壁は、垂直にエッチングされないだろう。したがって、第2の共形膜120の堆積前に、垂直な側壁を有するようにスペーサを形成することが望まれている。
パターニング問題を引き起こすフッティングに加えて、基板上の場所によってピッチが大幅に異なるピッチウォーキングも観察される。例えば、図1Iに示されるように、ピッチが、α、β、及びγの矢印に関して示されるように一貫性を欠くことがある。従来の技術は、プラズマから発生するエッチング種の指向性ゆえに、隅をエッチングしてフッティングを抑制するには不十分であり、それゆえに、コア材料がターゲット層の表面と交わる隅に、コア材料がエッチングされずに残される。
本書で提供されるのは、原子層エッチング(ALE)技術によって炭素含有材料をエッチングし、該エッチングされた炭素含有材料上に、パターニング用途に使用するための実質的に垂直な側壁を実現するための方法及び装置である。方法は、層ごとのエッチングプロセスにおいて、炭素含有材料の表面を改質するために、プラズマを伴うことなく炭素含有材料を酸素含有ガスに暴露し、改質表面を取り除くために、該改質表面を不活性ガスに暴露しプラズマを着火することによって、炭素含有材料をトリミングすることを伴う。原子層エッチングを層ごとに行うという特性は、フッティングが発生せず尚且つコア材料の側壁がエッチング対象の下位層の平面と約90度±5度の地点で交わる共形エッチングを可能にする。本書で説明される垂直な側壁は、コア材料の側壁がエッチング対象の下位層の平面と約90度±5度の地点で交わることを意味する。方法は、ダブルパターニングやクアッドパターニングなどのマルチパターニング技術に適している。方法は、リソグラフィによって画定された炭素含有材料の微小寸法を任意の所望の量だけ縮小させるのに適している。例えば、一部の実施形態では、炭素含有フィーチャの微小寸法は、開示された特定の実施形態を使用して約600Åから約500Åに低減されてよい。方法は、ALEを使用したエッチングを伴う。
ALEは、順次式の自己制限反応を使用して薄い材料層を除去する技術である。総じて、ALEは、任意の適切な技術を使用して実施されてよい。原子層エッチング技術の例が、2014年11月11日に発行された米国特許第8,883,028号及び2014年8月19日に発行された米国特許第8,808,561号で説明されており、これらは、原子層エッチング及びエッチング技術の例を説明する目的で参照によって本書に組み込まれる。原子層堆積(ALD)と統合された原子層エッチング技術の例が、2017年2月21日に発行された米国特許第9,576,811号で説明されており、該特許は、参照によって本書に組み込まれる。各種の実施形態では、ALEは、プラズマによって実施されてよい、又は熱によって実施されてよい。
ALEは、サイクル単位で実施されてよい。「ALEサイクル」の概念は、本書における各種の実施形態の議論に関わっている。総じて、ALEサイクルは、単分子層のエッチングなどの、エッチングプロセスを1回実施するために使用される最小動作集合である。1つのサイクルの結果は、基板表面上の膜層の少なくとも一部がエッチングされることである。通常、ALEサイクルは、反応層を形成するための改質動作と、その後に続く、この改質された層のみを除去する又はエッチングするための除去動作とを含む。サイクルは、反応剤若しくは副生成物のうちのいずれかをスイープするなどの、何らかの補助的な動作を含んでいてよい。総じて、一サイクルは、固有な一組の一連の動作を含む。一例として、一ALEサイクルは、(i)反応剤ガスを配送する動作と、(ii)チャンバから反応剤ガスをパージする動作と、(iii)除去用ガス及び随意のプラズマを配送する動作と、(iv)チャンバをパージする動作とを含んでいてよい。一部の実施形態では、エッチングが、非共形的に実施されてよい。図2は、一ALEサイクルの、2つの説明図の例を示している。図271a~271eは、一般的な一ALEサイクルを示している。271aでは、基板が提供される。271bでは、基板の表面が改質される。271cでは、次の工程が準備される。271dでは、改質された層がエッチングされている。271eでは、改質された層が除去される。同様に、272a~272eは、炭素含有膜をエッチングするためのALEサイクルの一例を示している。272aでは、炭素含有基板が提供され、該基板は、多数の炭素原子を含んでいる。272bでは、基板の表面を改質する反応剤ガスである酸素が基板に導入される。272bにおける例示は、一部の酸素が基板の表面上に吸着されることを一例として示している。図2では酸素が描かれているが、任意の酸素含有化合物又は適切な反応剤が使用されてよい。272cでは、反応剤ガスである酸素がチャンバからパージされる。272dでは、Ar+プラズマ種及び矢印によって示されるように、除去用ガスであるアルゴンが指向性プラズマとともに導入され、改質された基板表面を除去するためにイオン衝撃が実施される。図2ではアルゴンが描かれているが、ヘリウム、窒素、アルゴン、及びこれらの組み合わせなどのその他の除去用ガスが使用されてよいことが理解される。除去中は、イオンを基板に惹き付けるために、基板にバイアスが加えられる。272eでは、チャンバはパージされ、副生成物は除去される。
一サイクルは、約0.1nmから約50nmの材料、又は約0.1nmから約5nmの材料、又は約0.2nmから約50nmの材料、又は約0.2nmから約5nmの材料のみを、部分的にエッチングしてよい。一サイクルでエッチングされる材料の量は、エッチングの目的次第であってよく、例えば、エッチングされる材料の量は、炭素含有材料をエッチングしてパターンを形成した後に該パターン炭素含有材料を使用してエッチングされる層に所望される微小寸法に依存する。
図3は、開示された特定の実施形態にしたがった方法の動作を実施するためのプロセスの流れ図を示している。図3の動作は、約4Torrから約10Torr、又は約1Torrから約10Torr、又は約1Torrから約2Torrなどの、約1ミリTorrから約100Torrの間のチャンバ圧力で実施されてよい。動作301では、基板又はウエハが、処理チャンバに提供される。チャンバは、複数チャンバからなる装置の一チャンバ、又は1つのチャンバからなる装置であってよい。基板は、上に誘電体材料、導電性材料、又は半導電性材料などの1枚以上の材料層が堆積されるウエハなどの、200mmウエハ、300mmウエハ、又は450mmウエハを例とするシリコンウエハであってよい。基板は、基板を保持するための台座上にあってよい。台座は、約35℃から約100℃の間の温度に設定されてよい。この温度は、本書では基板温度として言及されてよいが、基板温度は、基板を保持している台座が設定される温度であることが理解される。
一部の実施形態では、基板は、スピンオン炭素、非晶質炭素、又はフォトレジストなどの炭素含有材料を含む。基板は、基板上に事前に堆積されてパターニングされたパターンマスク層を含んでいてよい。
各種の実施形態では、基板上の層が、パターニングされる。基板は、「フィーチャ」を含み、これらのフィーチャは、先行する1つ以上のエッチングプロセスからリソグラフィによって、パターニングされたコア材料として画定又はパターニング若しくはエッチングされてよい。リソグラフィによって画定されるとは、193nmリソグラフィなどのフォトリソグラフィによってパターニングされることを意味し、この方法では、光子源からの光子をマスク上に放射してパターンを感光性のフォトレジスト上にプリントし、それによってフォトレジスト内に化学反応を引き起こし、その化学反応がフォトレジストの特定の部分を除去してパターンを形成することで、パターンがプリントされる。各種の実施形態では、チャンバに提供される基板は、リソグラフィによって画定された炭素含有フィーチャのパターンを含む。本書で使用されるフィーチャとは、パターン炭素含有材料のポジ型フィーチャを言う。ピッチは、フィーチャとフィーチャとの間の中心間距離である。フィーチャは、基板表面上で互いに隔たれ、フィーチャ間の間隔は、「トレンチ(溝)」又は「ホール(穴)」と呼ばれる。各種の実施形態では、炭素含有フィーチャの下にある基板は、障壁層又は接着層などの下位層を含んでいてよい。下位層の非限定的な例として、例えばシリコン酸化物層、シリコン窒化物層、シリコン炭化物層、金属酸化物層、金属窒化物層、金属層などの、誘電体層及び導電性層を含む。
一部の実施形態では、フィーチャは、少なくとも約2:1、少なくとも約4:1、少なくとも約6:1、少なくとも約10:1、少なくとも約30:1、又はそれを超えるアスペクト比を有する。一部の実施形態では、フィーチャは、約6:1から約10:1の間のアスペクト比を有する。開示された方法は、フィーチャ間のトレンチ又はホールの開口部の幅が約150nm未満であるフィーチャを伴う基板に対して実施されてよい。
図3の動作304では、基板上の炭素含有材料を改質するために、プラズマを着火することなく基板が酸素含有ガスに暴露される。改質動作は、後続の除去動作で非改質材料よりも容易に除去される厚さの薄い反応性表面層を形成する。改質動作では、酸素含有ガスをチャンバ内へ導入することによって、基板上の炭素含有材料が改質されてよい。開示される実施形態では、エッチャント種の一例として酸素が使用されるが、一部の実施形態では、亜酸化窒素などの異なるエッチングガスがチャンバ内に導入されることが理解される。一部の実施形態では、酸素は、炭素含有材料と反応することなく基板の表面上に吸着されるだろう。各種の実施形態では、酸素は、ガス状でチャンバに導入され、随意に、ヘリウム、窒素、アルゴン、及びこれらの組み合わせのうちの任意でありえるキャリアガスを伴ってよい。一部の実施形態では、酸素は、窒素とともにプロセスチャンバに導入される。動作304は、酸素含有ガスによる基板表面の完全な飽和を得るのに十分な持続時間にわたって実施されてよい。一部の実施形態では、持続時間は、約0.1秒であってよい。一部の実施形態では、持続時間は、約0.5秒又は約1秒などの、約0.1秒から約5秒の間であってよい。
動作306では、パージが実施されてよい。パージ動作では、表面に結合されていない活性酸素種が、プロセスチャンバから除去されてよい。これは、吸着された層を除去することなくプロセスチャンバをパージ及び/又は排気して活性種を除去することによって成すことができる。パージは、N2、Ar、Ne、He、及びこれらの組み合わせなどの任意の不活性ガスを使用して成すことができる。一部の実施形態では、「バースト」パージが使用されてよく、その場合、パージの持続時間は、約0.1秒から約0.5秒の間である。
動作308では、改質された表面を除去するために、基板が不活性ガスに暴露され、プラズマが着火される。除去動作では、基板は、指向性のスパッタリングによって基板をエッチングするために、アルゴン又はヘリウムなどのエネルギ源(例えば、除去を誘発する活性化ガス若しくはスパッタリングガス又は化学的に反応性の種)に暴露されてよい。一部の実施形態では、除去動作は、イオン衝撃によって実施されてよい。一部の実施形態では、エッチングの指向性を微調整して所望の外形を実現するために、バイアスを加えることが適しているだろう。ただし、留意すべきは、本書で説明される大半の実施形態では、バイアスを加えることなく共形エッチングが実現されるだろうことである。
スパッタリングガスの量は、目標とされる量の材料のみをエッチングするように制御されてよい。各種の実施形態では、チャンバの圧力は、改質動作と除去動作との間で変更されてよい。ガスの圧力は、チャンバのサイズ、ガスの流量、リアクタの温度、基板のタイプ、及びエッチングされる基板のサイズに依存してよい。
プラズマは、各サイクルでエッチングされる材料の量を制御しつつ基板表面上の材料のスパッタリングを低減するように選択されたプラズマ電力で着火される。(4枚の基板を同時に処理するためなどの)4ステーション型チャンバの場合は、プラズマ電力は、250Wから750Wの間であってよい。一部の実施形態では、1つの基板ステーションのためのプラズマ電力が、約50Wから約250Wの間であってよい。プラズマの使用は、一般的に、幾らかのスパッタリングを引き起こすだろうが、スパッタリングは、総じて、開示された実施形態を低プラズマ電力によって高圧力で実施して、サイクルごとにエッチングされる材料の量を微調整制御する及びそれによって炭素含有材料をパターニングし垂直な側壁を得ることによって制御される。例えば、一部の実施形態では、チャンバ圧力は、基板ステーションごとのプラズマ電力が約50Wから約250Wの間である場合に約2Torrであってよい。一部の実施形態では、プラズマは、約1秒から約5秒の間などの、約5秒未満の持続期間にわたって着火されてよい。
動作310では、チャンバは、除去動作後にパージされてよい。パージプロセスは、改質動作後のパージに使用されるもののうちの任意であってよい。一部の実施形態では、動作306に関連して上述されたように、「バースト」パージが実施される。
動作399では、基板が十分にエッチングされているかどうかが決定される。もし、十分でないならば、随意に、動作304~310が繰り返されてよい。動作304~310の実施は、1つのALEサイクルを構成してよい。各種の実施形態では、エッチングが、サイクル単位で実施されてよい。サイクル数は、特定の用途に所望されるエッチングの量に依存する。各種の実施形態では、約1サイクルから約100サイクルの間のサイクル数が使用されてよい。一部の実施形態では、約5サイクルから約100サイクルが使用されてよい。一部の実施形態では、サイクル数は、約1サイクルから約40サイクル、又は約1サイクルから約20サイクル、又は約30サイクルから約40サイクルであってよい。所望の量の膜をエッチングするために、任意の適切な数のALEサイクルが含められてよい。一部の実施形態では、ALEは、基板上の層の表面を約1Åから約50Åエッチングするために、サイクル単位で実施される。一部の実施形態では、ALEのサイクルは、基板上の層の表面を約2Åから約50Åエッチングする。一部の実施形態では、サイクル数は、エッチングの量を特定するために及びエッチングを停止する終わりを終点に設定するために、発光分析(OES)を使用して選択されてよい。一部の実施形態では、サイクル時間(一サイクルの持続時間)は、1秒未満であってよい。
動作350では、所望の微小寸法のパターンを形成するのに十分なだけ基板がエッチングされた後に、随意に、真空を破ることなく原子層堆積によって共形膜が堆積されてよい。一部の実施形態では、共形膜は、シリコン酸化物を含む。一部の実施形態では、共形膜は、シリコン窒化物、シリコン炭化物、金属酸化物、及びこれらに組み合わせを含む。要するに、一部の実施形態では、動作304~350が、真空を破ることなく実施されてよい。一部の実施形態では、動作304~350は、同じチャンバ内で実施される。一部の実施形態では、チャンバは、2つ以上のプロセスステーションを含み、ALEが一方のプロセスステーションで実施される一方で、ALDは別のプロセスステーションで実施される。
一部の実施形態では、動作304が、動作308に先立って実施される。しかしながら、一部の実施形態では、動作308が、動作304に先立って実施されてよい。このような実施形態では、プラズマは、酸素含有ガスの導入によって着火されてよく、これに対し、不活性ガスは、プラズマを伴うことなく導入される。例えば、一実施形態では、基板が提供された後に、プラズマを着火することなく基板が不活性ガスの流れに暴露されてよく、随意にチャンバがパージされてよく、次いで、バイアスを加えることなく基板が酸素含有ガスに暴露されてガスが着火されよく、次いで、随意にチャンバが再びパージされてよい。不活性ガスの流れ及び酸素含有プラズマへの暴露は、所望の厚さの炭素含有材料をエッチングする必要に応じてサイクル単位で繰り返されてよい。
別の一実施形態では、基板が提供された後に、基板が不活性ガスの流れに暴露されてプラズマが着火されてよく、次いで、随意にチャンバがパージされてよく、次いで、プラズマを着火することなく基板が酸素含有ガスに暴露されてよく、次いで、随意にチャンバが再びパージされてよい。不活性ガスプラズマ及び酸素含有ガスへの暴露は、所望の厚さの炭素含有材料をエッチングする必要に応じてサイクル単位で繰り返されてよい。ALEの動作全体を通じて、バイアスは加えられない。
別の一実施形態では、基板が提供された後に、遠隔プラズマチャンバ内で生成された酸素含有プラズマに基板が暴露されてよく、随意にチャンバがパージされてよく、次いで、in-situプラズマが着火されている間に基板が不活性ガスに暴露されてよく、次いで、随意にチャンバが再びパージされてよい。遠隔生成された酸素含有プラズマ及びin-situ生成された不活性ガスプラズマへの暴露は、所望の厚さの炭素含有材料をエッチングする必要に応じてサイクル単位で繰り返されてよい。
図4A~4Cは、開示された特定の実施形態を経ている基板の説明図を示している。図4Aは、図1Eに相当し、パターニングされた第3のコア415及びターゲット層407が、基板400上にある。図4Bでは、パターニングされた第3のコア415は、図3に関連して上述されたように、基板を改質するための、プラズマを伴わない酸素含有ガスのパルスと、改質された表面を除去するための、バイアスを伴わない不活性ガスプラズマのパルスとを交互に使用し、それによって、トリミングされた第3のコア435を形成する原子層エッチングによって、トリミングされる。原子層エッチングによる層ごとのエッチングゆえに、フッティング効果がなく(480を参照)、トリミングされた第3のコア435の側壁は垂直であり、ターゲット層407の表面から約90度の角度であることがわかる。輪郭425は、原子層エッチング前の、パターニングされた第3のコア415の輪郭を示している。
図4Cでは、トリミングされた第3のコア435の上に、共形膜420が堆積される。これは、図3の動作350に相当してよく、ここでは、真空を破ることなく原子層堆積及びその後に続く原子層エッチングによるエッチングを実施することによって、共形膜が堆積される。一部の実施形態では、エッチングと堆積とが、同じチャンバ内で実施される。一部の実施形態では、エッチングと堆積とが、堆積チャンバ内で実施される。
図4Dでは、トリミングされた第3のコア435の頂部及びトレンチの底部から膜を除去してターゲット層407を露出させる及びスペーサ421を形成するために、共形膜420が、指向性エッチングを施される。図4Eでは、パターンターゲット層407が、柱間の間隔に一貫性があり尚且つ垂直な側壁を挟んで対称性を有するようにするために、トリミングされた第3のコア435が、選択的に除去され、スペーサ421は、ターゲット層407をエッチングしてパターンターゲット層427を得るために、マスクとして使用される。
図5は、開示された特定の実施形態にしたがって実施される動作の一例を示したタイミング説明図である。図5に提供された例では、プロセス500は、2つのエッチングサイクル512A及び512Bを含む。エッチングサイクル512Aは、酸素含有ガスへの暴露段階504Aと、パージ段階506Aと、プラズマを伴う不活性ガスへの暴露段階508Aと、パージ段階510Aとを含む。
酸素含有ガスへの暴露段階504Aは、図3の動作304に相当してよく、この段階中は、パージガスの流れがオフにされ、プラズマがオフにされ、この例では不活性ガスの流れがオフにされ、酸素含有ガスの流れがオンにされる。なお、ここでは、不活性ガスの流れがオフにされると示されているが、一部の実施形態では、不活性ガスであってよいキャリアガスが酸素含有ガスとともに流されてよいことが、留意されるべきである。一部の実施形態では、キャリアガスは、処理チャンバへの酸素含有ガスの配送前に迂回される。
酸素含有ガスへの暴露段階504Aに続いて、パージ段階506Aが実施される。このパージ段階は、図3の動作306に相当してよい。パージ段階506A中は、パージガスが流される一方で、酸素含有ガスの流れ及び不活性ガスの流れはオフにされ、プラズマはオフにされる。なお、図3では、パージガスと不活性ガスとが別々に挙げられているが、一部の実施形態では、パージガスとして、及びプラズマを伴う不活性ガスへの暴露段階508Aにおける不活性ガスとして、同じガスが使用されてよいことが、留意されるべきである。
プラズマを伴う不活性ガスへの暴露段階508A中は、パージガスの流れ及び酸素含有ガスの流れがオフにされる一方で、不活性ガスの流れがオンにされ、プラズマがオンにされる。この段階は、図3の動作308に相当してよい。図5では、バイアスが加えられるかどうかが示されていないが、一部の実施形態では、プラズマを伴う不活性ガスガスへの暴露段階508A中に、バイアスは加えられない。同様に、一部の実施形態では、酸素含有ガスへの暴露段階504A中も、バイアスは加えられない。
パージ段階510Aは、図3の動作310に相当してよく、このパージ段階510A中は、パージガスが流される一方で、酸素含有ガスの流れ及び不活性ガスの流れはオフにされ、プラズマはオフにされる。
特定の実施形態では、エッチングサイクル512Aの、段階504A(「投入」段階と呼ばれることもある)/506A(パージ)/508A(「プラズマ」段階又は「RF」段階と呼ばれることもある)/510A(パージ)のタイミングは、パルス列タイミングとも呼ばれ、0.1~1.0秒/0.1~1.0秒/0.1~1.0秒/0.1~1.0秒などの範囲であってよい。例えば、0.25秒/0.25秒/0.25秒/0.1秒のパルス列タイミングが使用されてよい。
特定の実施形態では、酸素含有ガスへの暴露段階504Aのためのシャワーヘッドの流れが、約5~15slmの範囲であってよく、プラズマを伴う不活性ガスへの暴露段階508Aのためのシャワーヘッドの流れは、約5~15slmの範囲であってよく(シャワーヘッドの上方で不活性)、パージ段階506A及び510Aのためのパージの流れは、約20~40slmの範囲であってよい。
エッチングサイクル512Aは、エッチングサイクル512Bで示されるように、繰り返されてよく、このエッチングサイクル512Bは、酸素含有ガスへの暴露段階504Bと、パージ段階506Bと、プラズマを伴う不活性ガスへの暴露段階508Bと、パージ段階510Bとを含む。酸素含有ガスへの暴露段階504B中は、パージガスの流れ及び不活性ガスの流れがオフにされる一方で、酸素含有ガスの流れがオンにされ、プラズマはオフにされる。パージ段階506B中は、パージガスの流れがオンにされる一方で、酸素含有ガスの流れ及び不活性ガスの流れはオフにされ、プラズマもオフにされる。プラズマを伴う不活性ガスへの暴露段階508B中は、パージガスの流れ及び酸素含有ガスの流れがオフにされる一方で、不活性ガスの流れがオンにされ、プラズマもオンにされる。パージ段階510B中は、パージガスの流れがオンにされる一方で、酸素含有ガスの流れ及び不活性ガスの流れはオフにされ、プラズマはオフにされる。
図5には、2つのエッチングサイクルが示されているが、更なるエッチングサイクルが実施されてもよいことが理解される。更に、堆積段階が示されていないが、一部の実施形態では、原子層エッチングのエッチングサイクルを幾つか経た後に、エッチングプロセスから形成されたパターン基板の上に原子層堆積によって共形膜が堆積される。
装置
図6は、プロセスチャンバ602を有する原子層堆積(ALD)プロセスステーション600の一実施形態の説明図を示している。プロセスステーション600は、開示された特定の実施形態を実施するために使用されてよい。例えば、プロセスステーション600は、通常は、基板上に膜を堆積させるために使用されるのが、開示された特定の実施形態では、本書の随所で説明されるように、原子層エッチング(ALE)によるパターニング方式で炭素含有材料をエッチングするために使用されてよい。一部の実施形態では、プロセスステーション600が、ALE及びALDの両方に使用されてよい、又は一部の実施形態では、真空を破ることなくALEのためのステーションステーションとALDステーションとの間で基板が移送されえるように、複数ステーション型ツールの中の幾つかのプロセスステーションが、ALEのためのステーションと、ALDのためのステーションとを含んでいてよい。
プロセスチャンバ602は、低圧環境を維持するために使用されてよい。複数の処理テーションが、共通の低圧プロセスツール環境内に含まれてよい。例えば、図7は、複数ステーション型処理ツール700の一実施形態を示している。また、図8及び図9に図示されるとともに図8及び図9を参照にして説明されるように、複数のプロセスツールが、共通の低圧プロセスクラスタツール環境に含まれてよい。一部の実施形態では、以下で詳細に論じられるものを含む、プロセスステーション600の1つ以上のハードウェアパラメータが、1つ以上のコンピュータコントローラ650によってプログラムで調整されてよい。
プロセスステーション600は、プロセスガスを分配シャワーヘッド606に配送するための反応剤配送システム601aと流体連通している。反応剤配送システム601aは、シャワーヘッド606への配送用に酸素含有ガスなどのプロセスガス又は不活性ガスを混ぜ合わせる及び/又は整えるための混合容器604を含む。1つ以上の混合容器入口弁620が、混合容器604へのプロセスガスの導入を制御してよい。
一例として、図6の実施形態は、混合容器604に供給される液体反応剤を気化するための気化地点603を含む。一部の実施形態では、堆積化学剤が、気化される液体反応剤として提供されてよい。堆積化学剤は、処理チャンバ602内でのALEの実施に続いて、ALDによって上に共形膜が堆積されえるようにパターン炭素含有材料を形成するために使用されてよい。一部の実施形態では、気化地点603は、加熱された気化器であってよい。このような気化器から生成される飽和した反応剤蒸気は、下流の配送管内で凝結する恐れがある。凝結した反応剤に不適合性のガスが触れると、小粒子を形成することがある。これらの小粒子は、管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする恐れがある。これらの問題に対処するためのアプローチには、配送管をパージ及び/又は排気して残留反応剤を除去することを伴うものがある。しかしながら、配送管のパージは、プロセスステーションのサイクル時間を長びかせて、プロセスステーションのスループットを低下させる恐れがある。したがって、一部の実施形態では、気化地点603の下流の配送管が、熱追跡されてよい。一部の例では、混合容器604も、熱追跡されてよい。非限定的な一例では、気化地点603の下流の管が、おおよそ100℃から混合容器604におけるおおよそ150℃に向けて上昇する温度プロフィールを有する。
一部の実施形態では、液体前駆体又は液体反応剤が、液体注入器(不図示)で気化されてよい。例えば、液体注入器は、混合容器604の上流のキャリアガスの流れに液体反応剤のパルスを注入してよい。一実施形態では、液体注入器は、高い圧力から低い圧力へ液体を勢いよく流すことによって反応剤を気化させてよい。別の例では、液体注入器は、分散した微滴状に液体を霧化させてよく、これらの微滴は、続いて、加熱された配送管内で気化される。液滴は、小さいほど速く気化されて、液体注入と完全気化との間の遅延を短縮するだろう。気化は、速いほど、気化地点603から下流の管の長さを短くするだろう。或る状況では、液体注入器が、混合容器604に直接取り付けられてよい。別の状況では、液体注入器が、シャワーヘッド606に直接取り付けられてよい。
一部の実施形態では、気化、及びプロセスチャンバ602への配送のために液体の質量流量を制御するために、気化地点603の上流に液体流量コントローラ(LFC)が提供されてよい。例えば、LFCは、その下流に熱質量流量計(MFM)を含んでいてよい。したがって、LFCのプランジャ弁は、MFMと電気的に通信する比例・積分・微分(PID)コントローラによって提供されるフィードバック制御信号を受けて調整されてよい。しかしながら、フィードバック制御を使用して液体の流れを安定化させるには、1秒又はそれを超える時間がかかるだろう。これは、液体反応剤を投入するための時間を長びかせる恐れがある。したがって、一部の実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。一部の実施形態では、これは、LFC検知管及びPIDコントローラを使用停止にすることによって実施されてよい。
その他の実施形態では、一部又は全部のプロセスガスが、気相でチャンバ装置に提供されてよく、したがって、気化は不要である。
シャワーヘッド606は、基板612に向かってプロセスガスを分配する。図6に示された実施形態では、基板612は、シャワーヘッド606の下に配置され、チャック又は台座608に着座して示されている。一部の実施形態では、1つのチャンバが、複数のチャック又は台座を含んでいてよい。シャワーヘッド606は、任意の適切な形状を有してよく、プロセスガスを基板612に分配するための任意の適切な数及び配置のポートを有してよい。例えば、シャワーヘッドを通じてプロセスガス化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給容量結合プラズマ(CCP)、シャワーヘッドを通じて化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給誘導結合プラズマ(ICP)プラズマ、シャワーヘッドを通じてその他の化学剤が供給される、接地台座を伴う又は伴わないシャワーヘッド供給酸素含有ガス(例えばオゾン)、シャワーヘッドを通じて化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzの任意の組み合わせによる混合周波数CCPプラズマ、シャワーヘッドによって化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給CCPプラズマ、シャワーヘッドによって化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける台座供給CCPプラズマ、及びシャワーヘッドを通じて化学剤が供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける混合周波数台座供給CCPプラズマが挙げられる。
一部の実施形態では、微小体積607が、シャワーヘッド606の下に位置する。プロセスステーションの全体容積内ではなく微小体積内でALD及び/又はCVDプロセスを実施することによって、反応剤暴露時間及びスイープ時間が短縮され、プロセス条件(例えば、圧力や温度など)を交互に切り替える時間が短縮され、プロセスガスへのプロセスステーションロボット機構の露出が制限されるだろう。微小体積の大きさの例として、0.1リットルから2リットルの間が挙げられ、ただし、これに限定はされない。この微小体積は、生産性のスループットにも影響を及ぼす。一サイクルあたりの堆積速度が低下する一方で、サイクル時間も同時に短縮される。或る種の事例では、後者の効果が、目標とされる所定の膜厚用にモジュールの全体スループットを向上させるのに十分に劇的である。
一部の実施形態では、台座608は、基板612とシャワーヘッド606との間の空間に基板612を露出させるために昇降されよい。一部の実施形態では、台座608は、ヒータ610を通じて温度制御されてよい。台座608は、開示された各種の実施形態を実施するための動作中に、約25℃から約650℃の間又は約35℃から約100℃の間などの、任意の適切な温度に設定されてよい。一部の実施形態では、適切なコンピュータコントローラ650によって、台座の高さがプログラムで調整されてよいことがわかる。
別の状況では、台座608の高さを調整することによって、開示された特定の実施形態で実施されるプラズマ活性化中にプラズマ密度が変更されることが可能にされてよい。例えば、コア材料が酸素含有ガスに暴露された後、改質されたコア材料を除去するためにシャワーヘッド606を通じて基板612に不活性ガスが流されるときに、プラズマが着火されてよい。1つのプロセス段階が完了したら、台座608から基板612を取り除くことを可能にするために、別の基板移送段階中に台座608が下げられてよい。
一部の実施形態では、基板612とシャワーヘッド606との間の体積を変化させるために、シャワーヘッド606の位置が台座608に相対的に調整されてよい。更に、本開示の範囲内で、任意の適切なメカニズムによって台座608及び/又はシャワーヘッド606の垂直位置が変更されてよいことがわかる。一部の実施形態では、台座608は、基板612の向きを回転させるための回転軸を含んでいてよい。一部の実施形態では、これらの調整例のうちの1つ以上が、1つ以上の適切なコンピュータコントローラ650によってプログラムで実施されてよいことがわかる。コンピュータコントローラ650は、図7のコントローラ750に関して後ほど説明されるフィーチャのうちの任意を含んでいてよい、及び/又は本書で説明されるコントローラは、図6~9で説明されるステーション、ツール、若しくはクラスタの実装実施形態のうちの任意の1つ以上の中に構成されてよい。
上記のようにプラズマが使用されてよい一部の実施形態では、シャワーヘッド606及び台座608は、プラズマに電力供給するために、無線周波数(RF)電力供給部614及び整合回路網616と電気的に連絡する。一部の実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、及びプラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてよい。例えば、RF電力供給部614及び整合回路網616は、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切な電力で動作されてよい。同様に、RF電力供給部614は、任意の適切な周波数のRF電力を提供してよい。一部の実施形態では、RF電力供給部614は、高周波数RF電力源及び低周波数RF電力源を互いに独立に制御するように構成されてよい。低周波数RF周波数の例として、0kHzから500kHzの間の周波数が挙げられ、ただし、これらに限定はされない。高周波数RF周波数の例として、1.8MHzから2.45GHzの間の周波数、又は約13.56MHzを超える周波数、又は27MHzを超える周波数、又は40MHzを超える周波数、又は60MHzを超える周波数が挙げられ、ただし、これらに限定はされない。表面反応のためのプラズマエネルギを提供するために、任意の適切なパラメータが離散的に又は連続的に調整されてよいことがわかる。
一部の実施形態では、プラズマは、1つ以上のプラズマモニタによってin-situで監視されてよい。或る状況では、プラズマ電力が、1つ以上の電圧・電流センサ(例えば、VIプローブ)によって監視されてよい。別の状況では、プラズマ密度及び/又は処理ガス濃度が、1つ以上の発光分析センサ(OES)によって測定されてよい。一部の実施形態では、このようなin-situプラズマモニタからの測定結果に基づいて、1つ以上のプラズマパラメータが、プログラムで調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためのフィードバックループの中で使用されてよい。一部の実施形態では、OESセンサが、開示された特定の実施形態を使用して特定の長さの時間後にエッチングを停止するための終点を設定するために使用されてよい。なお、一部の実施形態では、プラズマ及びその他のプロセス特性を監視するために、その他のモニタが使用されてよいことがわかる。このようなモニタとして、赤外線(IR)モニタ、音響モニタ、及び圧力変換器が挙げられ、ただし、これらに限定はされない。
一部の実施形態では、コントローラ650のための命令が、入出力制御(IOC)シークエンシング命令を通じて提供されてよい。一例では、プロセス段階のための条件を設定するための命令が、プロセスレシピの中の対応するレシピ段階に含められてよい。場合によっては、プロセスレシピ段階は、或るプロセス段階のための全ての命令がそのプロセス段階に並行して実行されるように、順次配置されてよい。一部の実施形態では、1つ以上のリアクタパラメータを設定するための命令が、レシピ段階に含められてよい。例えば、第1のレシピ段階は、不活性ガス及び/又は反応剤ガス(例えば酸素含有ガス)の流量を設定するための命令と、(アルゴンなどの)キャリアガスの流量を調整するための命令と、第1のレシピ段階のための時間遅延命令とを含んでいてよい。続く第2のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第2のレシピ段階のための時間遅延命令とを含んでいてよい。第3のレシピ段階は、アルゴンなどの第2のガスの流量を調節するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、4ステーション型処理ツール用に約250Wから約750Wの間の低プラズマ電力でプラズマを着火するための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。続く第4のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。このようなレシピは、エッチング対象の下位層の表面と約90度±5度の地点で交わる垂直な側壁を得るために基板上のコア材料などの炭素含有材料をエッチングするために使用されてよい。更なるレシピが続いてよく、パターニングされたコア材料の上にALDによって共形膜を堆積させるために使用されてよい。例えば、パターニングされたコア材料の上にシリコン酸化物共形膜を堆積させるために、1つの追加のレシピ段階が、シリコン含有前駆体の流量を設定するための命令を含むとともに、別の追加のレシピ段階が、酸素含有反応剤の流量を設定するための命令と、この追加のレシピ段階のための時間遅延命令とを含んでいてよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な形で更に細分化及び/又は反復されてよいことがわかる。
更に、一部の実施形態では、プロセスステーション600のための圧力制御が、バタフライ弁618によって提供されてよい。図6の実施形態に示されるように、バタフライ弁618は、下流の真空ポンプ(不図示)によって提供される真空を絞り調節する。しかしながら、一部の実施形態では、プロセスステーション600の圧力制御は、プロセスステーション600に導入される1種類以上のガスの流量を変化させることによって調整されてもよい。
上述のように、1つ以上のプロセスステーションが、複数ステーション型処理ツールに含まれてよい。図7は、入室ロードロック702と、退室ロードロック704とを伴う複数ステーション型処理ツール700の一実施形態の概略図を示しており、これらのロードドックは、その一方又は両方が、遠隔プラズマ源を含んでいてよい。大気圧にあるロボット706が、ポッド708を通じて装填されたカセットから大気圧ポート710を経てウエハを入室ロードロック702内へ移動させるように構成される。ウエハ(不図示)が、ロボット706によって入室ロードロック702の中の台座712に載せられ、すると、大気圧ポート710は閉じられ、入室ロードロック702はポンプによって排気される。入室ロードロック702が遠隔プラズマ源を含む場合は、ウエハは、処理チャンバ714内へ導入される前に、入室ロードロック702内で遠隔プラズマ処置を施されてよい。更に、ウエハは、例えば湿気及び吸着ガスを除去するために、入室ロードロック702内で加熱されてもよい。次に、処理チャンバ714に通じるチャンバ移送ポート716が開かれ、別のロボット(不図示)が、ウエハを、リアクタ内に示された第1のステーションの台座上に処理のために載せる。図7に示された実施形態が、ロードロックを含む一方で、一部の実施形態では、プロセスステーションの中にウエハが直接入れられてよいことがわかる。
図に示された処理チャンバ714は、4つのプロセスステーションを含み、これらのステーションは、図7に示された実施形態では、1~4の番号を振られている。各ステーションは、加熱された台座(ステーション1の場合は718で示されている)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なる又は複数の目的を有してよいことがわかる。例えば、一部の実施形態では、プロセスステーションは、ALEプロセスモードと、ALDプロセスモードと、プラズマ強化式ALDプロセスモードとの間で切り替え可能であってよい。一部の実施形態では、堆積前駆体への暴露と第2の反応剤及びプラズマへの暴露とが、同じステーション内で実施される。加えて又は或いは、一部の実施形態では、処理チャンバ714は、対を成すALDプロセスステーションとプラズマ強化式ALDプロセスステーションとを1対以上含んでいてよい。図に示された処理チャンバ714は、4つのプロセスステーションを備えているが、本開示にしたがった処理チャンバは、任意の適切な数のステーションを有してよいことが理解される。例えば、一部の実施形態では、処理チャンバは、5つ以上のステーションを有してよく、その他の実施形態では、処理チャンバは、3つ以下のステーションを有してよい。
図7は、処理チャンバ714内でウエハを移送するためのウエハハンドリングシステム790の一実施形態を示している。一部の実施形態では、ウエハハンドリングシステム790が、各種のプロセスステーション間で及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例として、ウエハカルーセル及びウエハハンドリングロボットが挙げられる。図7は、プロセスツール700のプロセス条件及びハードウェア状態を制御するために利用されるシステムコントローラ750の一実施形態も示している。システムコントローラ750は、1つ以上のメモリデバイス756と、1つ以上の大容量ストレージデバイス754と、1つ以上のプロセッサ752とを含んでいてよい。プロセッサ752は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などを含んでいてよい。
一部の実施形態では、システムコントローラ750は、プロセスツール700の全ての活動を制御する。システムコントローラ750は、システム制御ソフトウェア758を実行し、このソフトウェアは、大容量ストレージデバイス754に格納され、メモリデバイス756に取り込まれ、プロセッサ752上で実行される。或いは、制御ロジックは、コントローラ750の中にハードコード化されてよい。これらの目的のために、特殊用途向け集積回路や、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などが使用されてよい。以下の議論において、「ソフトウェア」又は「コード」が使用されるときは、常に、機能的に匹敵するハードコード化されたロジックが代わりに使用されてよい。システム制御ソフトウェア758は、プロセスツール700によって実施される特定のプロセスの、タイミング、ガスの混合、ガスの流量、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、ウエハの温度、目標電力レベル、RF電力レベル、基板台座、チャック、及び/又はサセプタの位置、並びにその他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェア758は、任意に適切に構成されてよい。例えば、各種のプロセスツールプロセスを行うために必要とされるプロセスツールコンポーネントの動作を制御するために、各種のプロセスツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェア758は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
一部の実施形態では、システム制御ソフトウェア758は、上述された各種のパラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含んでいてよい。その他の実施形態では、システムコントローラ750に関係付けられた大容量ストレージデバイス754及び/又はメモリデバイス756に格納されたその他のコンピュータソフトウェア及び/又はプログラムが用いられてよい。この目的のためのプログラム又はプログラムセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座718上に搭載するために及び基板とプロセスツール700のその他のパーツとの間の間隔を制御するために使用されるプロセスツールコンポーネントのためのプログラムコードを含んでいてよい。
プロセスガス制御プログラムは、ガスの組成(例えば、本書で説明されるような、シリコン含有ガス、酸化含有ガス、及びパージガス)と流量とを制御するための、並びに随意に、プロセスステーション内の圧力を安定化させるために堆積前に1つ以上のプロセスステーションにガスを流し入れるための、コードを含んでいてよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁やプロセスステーション内へのガスの流れなどを調節することによってプロセスステーション内の圧力を制御するためのコードを含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは、ヒータ制御プログラムは、基板への(ヘリウムなどの)熱伝達ガスの配送を制御してよい。
プラズマ制御プログラムは、本書における実施形態にしたがって1つ以上のプロセスステーション内のプロセス電極に印加されるRF電力レベルを設定するためのコードを含んでいてよい。
圧力制御プログラムは、本書における実施形態にしたがって反応チャンバ内の圧力を維持するためのコードを含んでいてよい。
一部の実施形態では、システムコントローラ750に関係付けられたユーザインターフェースがあってよい。ユーザインターフェースとして、ディスプレイ画面、装置条件及び/又はプロセス条件のグラフィックソフトウェア表示、並びにポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどのユーザ入力デバイスが挙げられる。
一部の実施形態では、システムコントローラ750によって調整されるパラメータが、プロセス条件に関係していてよい。非限定的な例として、プロセスガスの組成及び流量、温度、圧力、(RFバイアス電力レベルなどの)プラズマ条件などが挙げられる。これらのパラメータは、レシピの形でユーザに提供されてよく、ユーザインターフェースを用いて入力されてよい。
プロセスを監視するための信号が、様々なプロセスツールセンサからシステムコントローラ750のアナログ入力接続及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、プロセスツール700のアナログ出力接続及びデジタル出力接続に載せて出力されてよい。監視されえるプロセスツールセンサの非限定的な例として、質量流量コントローラ、(圧力計などの)圧力センサ、熱電対などが挙げられる。適切にプログラムされたフィードバック・制御アルゴリズムが、プロセス条件を維持するためにこれらのセンサからのデータと併せて使用されてよい。
システムコントローラ750は、上述された堆積プロセスを実行に移すためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの、多岐にわたるプロセスパラメータを制御してよい。命令は、本書で説明される各種の実施形態にしたがった膜積層体のin-situ堆積を動作させるために、パラメータを制御してよい。
システムコントローラ750は、開示される実施形態にしたがった方法を装置が実施するように、通常は、1つ以上のメモリデバイスと、命令を実行するように構成された1つ以上のプロセッサとを含む。開示される実施形態にしたがったプロセス動作を制御するための命令を含む機械読み取り可能媒体が、システムコントローラ750に結合されてよい。
一部の実装形態では、システムコントローラ750は、システムの一部であってよく、該システムは、上述された例の一部であってよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)を含む、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、1つ以上のシステムの各種のコンポーネント又は副部品を制御してよい。システムコントローラ750は、処理要件及び/又はシステムタイプに応じて、処理ガスの配送、温度の設定(例えば加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールに対して並びに特定のシステムに接続された又はインターフェース接続されたその他の移送ツール及び/又はロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示されるプロセスのうちの任意を制御するようにプログラムされてよい。
概して、システムコントローラ750は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う各種の集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、各種の個別設定(又はプログラムファイル)の形でシステムコントローラ750に伝えられて、半導体ウエハに対して若しくは半導体ウエハのための特定のプロセスを実行に移すための、又はシステムへの、動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作中に1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
システムコントローラ750は、一部の実装形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに結合されてよい。例えば、システムコントローラ750は、「クラウド」の中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現行の処理のパラメータを変更するために、又は処理工程を設定して現行の処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワーク又はインターネットなどが挙げられるコンピュータネットワークを通じてシステムにプロセスレシピを提供することができる。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。一部の例では、システムコントローラ750は、1つ以上の動作の最中に実施されるべき各処理工程のためのパラメータを指定するデータの形式で命令を受信する。これらのパラメータは、実施されるプロセスのタイプに、及びシステムコントローラ750がインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることが、理解されるべきである。したがって、上述されたように、システムコントローラ750は、ネットワークによって結ばれて本書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路が挙げられるだろう。
限定されることなく、システムの例として、プラズマエッチングチャンバ又はプラズマエッチングモジュール、堆積チャンバ又は堆積モジュール、スピンリンスチャンバ又はスピンリンスモジュール、金属めっきチャンバ又は金属めっきモジュール、洗浄チャンバ又は洗浄モジュール、ベベルエッジエッチングチャンバ又はベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ又はPVDモジュール、化学気相成長(CVD)チャンバ又はCVDモジュール、ALDチャンバ又はALDモジュール、原子層エッチング(ALE)チャンバ又はALEモジュール、イオン注入チャンバ又はイオン注入モジュール、追跡チャンバ又は追跡モジュール、並びに半導体ウエハの製作及び/又は生産に関係付けられてよい又は使用されてよいその他のあらゆる半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じて、システムコントローラ750は、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体生産工場内のツール場所及び/若しくはロードポートに対してウエハ入りの容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。
本書で開示される方法を実施するための適切な装置が、2011年4月11日に出願され名称を「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマによって活性化される共形膜堆積)」とする米国特許出願第13/084,399号(現在の米国特許第8,728,956号)、及び2011年4月11日に出願され名称を「SILICON NITRIDE FILMS AND METHODS(シリコン窒化物膜及び方法)」とする米国特許出願第13/084,305号において、更に議論及び説明されている。これらの出願は、それぞれ、参照によってその全体を本書に組み込まれる。
各種の実施形態では、装置は、27MHz及び/若しくは13MHzの容量結合プラズマ、並びに/又は例えば遠隔プラズマなどの誘導結合プラズマを含んでいてよい。
開示された実施形態を実現するために、任意の適切なチャンバ及び/又はツールが使用されてよい。処理装置の例として、カリフォルニア州フリーモントのLam Research Corporationからそれぞれ入手可能であるALTUS(登録商標)製品シリーズ、VECTOR(登録商標)製品シリーズ、SPEED(登録商標)製品シリーズ、及び/又はSTRIKER(登録商標)製品シリーズ、又は多岐にわたるその他の市販の処理システムのうちの任意が挙げられ、ただし、これらに限定されない。ステーションのうちの2つ以上が、同じ機能を実施してよい。同様に、2つ以上のステーションが、異なる機能を実施してもよい。各ステーションは、必要に応じて特定の機能/方法を実施するように設計できる又は構成できる。
図8は、特定の実施形態にしたがったプロセスを行うのに適した、クラスタツールと呼ばれることもある処理システムの、ブロック図である。システム800は、移送モジュール803を含む。移送モジュール803は、処理されている基板が各種のリアクタモジュール間で移動されるのに伴って汚染されるリスクを最小限に抑えるために、清浄な加圧環境を提供する。移送モジュール803上には、2つのマルチステーションリアクタ809及び810が搭載され、それぞれ、特定の実施形態にしたがった原子層堆積(ALD)及び/又は化学気相成長(CVD)及び/又は原子層エッチング(ALE)を実施することができる。リアクタ809及び810は、複数のステーション811、813、815、及び817を含んでいてよく、これらのステーションは、開示された実施形態にしたがって順次方式で又は非順次方式で動作を実施してよい。ステーションは、加熱された台座又は基板サポートと、1つ以上のガス入口又はシャワーヘッド又は分散板とを含んでいてよい。
移送モジュール803上には、プラズマ方式若しくは化学(非プラズマ)方式の前洗浄又は開示された方法に関連して説明されたその他の任意のプロセスを実施することができる1つ以上の単一ステーション型又は複数ステーション型のモジュール807も搭載されてよい。モジュール807は、場合によっては、例えば堆積プロセス又はエッチングプロセスのために基板を整えるなどのための各種の処置に使用されてよい。モジュール807は、エッチング又は研磨などのその他の各種のプロセスを実施するように設計/構成されてもよい。システム800は、処理前の及び処理後のウエハが貯蔵される1つ以上のウエハソースモジュール801も含む。大気圧移送チャンバ819内の大気圧ロボット(不図示)が、先ず、ウエハをソースモジュール801から取り出してロードロック821に移してよい。次いで、移送モジュール803内のウエハ移送機器(一般的にはロボットアームユニット)が、ロードロック821から、移送モジュール803上に搭載されたモジュールへ、及び移送モジュール803上に搭載されたモジュール間で、ウエハを移動させる。
各種の実施形態では、システムコントローラ829が、処理時のプロセス条件を制御するために用いられる。コントローラ829は、通常は、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含む。プロセッサは、CPU又はコンピュータ、アナログ入出力接続及び/又はデジタル入出力接続、ステッピングモータ制御盤などを含んでいてよい。
コントローラ829は、処理装置の全活動を制御してよい。システムコントローラ829は、特定のプロセスの、タイミング、ガスの混合、チャンバの圧力、チャンバの温度、ウエハの温度、無線周波数(RF)電力レベル、ウエハチャック又は台座の位置、及びその他のパラメータを制御するための命令一式を含む、システム制御ソフトウェアを実行する。実施形態によっては、コントローラ829に関係付けられたメモリデバイスに格納されたその他のコンピュータプログラムが用いられてよい。
通常は、コントローラ829に、ユーザインターフェースが関係付けられる。ユーザインターフェースとして、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びにポインティングデバイス、キーボード、タッチ画面、マイクロフォン等などのユーザ入力機器が挙げられる。
システム制御ロジックが、任意に適切に構成されてよい。一般的には、ロジックは、ハードウェア及び/又はソフトウェアとして設計又は構成されてよい。駆動回路構成を制御するための命令が、ハードコード化されてよい又はソフトウェアとして提供されてよい。命令は、「プログラミング」によって提供されてよい。このようなプログラミングは、デジタル信号プロセッサ、特殊用途向け集積回路、及びハードウェアとして特定のアルゴリズムが実装されたその他のデバイスの中の、ハードコード化されたロジックなどの、任意の形態のロジックを含むものとして理解される。プログラミングは、汎用プロセッサ上で実行されえるソフトウェア命令又はファームウェア命令を含むものとしても理解される。システム制御ソフトウェアが、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素の流れ、及びタングステン含有前駆体パルス、並びに、一連のプロセス内のその他のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどの、従来の任意のコンピュータ読み取り可能プログラミング言語で記述できる。プログラムに指定されたタスクを実施するために、プロセッサによって、コンパイル済みのオブジェクトコード又はスクリプトが実行される。また、述べられたように、プログラムコードは、ハードコード化されてよい。
コントローラパラメータは、例えば、プロセスガスの組成及び流量、温度、圧力、冷却ガスの圧力、基板の温度、チャンバ壁の温度などの、プロセス条件に関する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインターフェースを用いて入力されてよい。プロセスを監視するための信号が、システムコントローラ829のアナログ入力接続及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、処理システム800のアナログ出力接続及びデジタル出力接続に載せて出力される。
システムソフトウェアは、様々に設計又は構成されてよい。例えば、開示された実施形態にしたがってプロセスを行うために必要とされるチャンバコンポーネントの動作を制御するために、各種のチャンバコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。この目的のためのプログラム又はプログラムセクションの例として、基板位置決めコード、プロセスガス制御コード、圧力制御コード、及びヒータ制御コードが挙げられる。
一部の実装形態では、コントローラ829は、システムの一部であり、該システムは、上述された例の一部であってよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)などの、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、これは、1つ以上のシステムの各種のコンポーネント又は副部品を制御してよい。コントローラ829は、処理要件及び/又はシステムタイプに応じて、処理ガスの配送、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、一部のシステムにおける無線周波数(RF)生成器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールに対して並びに特定のシステムに接続された又はインターフェース接続されたその他の移送ツール及び/又はロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示される任意のプロセスを制御するようにプログラムされてよい。
図9は、特定の実施形態にしたがったプロセスにしたがった又はそのようなプロセスを行うのに適した代替の処理システムのブロック図である。システム900は、移送モジュール903を含む。移送モジュール903は、処理されている基板が各種のリアクタモジュール間で移動されるのに伴って汚染されるリスクを最小限に抑えるために、清浄な加圧環境を提供する。移送モジュール903上には、この文脈ではリアクタ又はツールモジュール又は単純にモジュールと呼ばれる2つのマルチステーション型リアクタ907、908、及び909が搭載され、それぞれ、特定の実施形態にしたがった原子層堆積(ALD)及び/又は化学気相成長(CVD)及び/又は原子層エッチング(ALE)を実施することができる。リアクタ907、908、及び909は、複数のステーション911、913、915、及び917を含んでいてよく、これらのステーションは、開示された実施形態にしたがって順次方式で又は非順次方式で動作を実施してよい。ステーションは、加熱された台座又は基板サポートと、1つ以上のガス入口又はシャワーヘッド又は分散板とを含んでいてよい。モジュール907、908、及び909の1つ以上が、プラズマ方式若しくは化学(非プラズマ)方式の前洗浄、又は場合によっては例えば堆積プロセス若しくはエッチングプロセスに備えて基板を整えるなどのための各種の処置に使用されるなど開示された方法に関連して説明されたその他の任意のプロセスを、実施可能であってよい。
システム900は、処理前の及び処理後のウエハが貯蔵される1つ以上のウエハソースモジュール901も含む。大気圧移送チャンバ919内の大気圧ロボット904が、先ず、ウエハをソースモジュール901から取り出してロードロック921に移してよい。次いで、移送モジュール903内のウエハ移送機器(一般的にはロボットアームユニット)905が、加圧(例えば真空)環境内において、ロードロック921から、移送モジュール903上に搭載されたモジュールへ、及び移送モジュール903上に搭載されたモジュール間で、ウエハを移動させる。
各種の実施形態では、システムコントローラ929が、処理時のプロセス条件を制御するために用いられる。コントローラ929は、通常は、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含む。プロセッサは、CPU又はコンピュータ、アナログ入出力接続及び/又はデジタル入出力接続、ステッピングモータ制御盤などを含んでいてよい。
コントローラ929は、処理装置の全活動を制御してよい。システムコントローラ929は、特定のプロセスの、タイミング、ガスの混合、チャンバの圧力、チャンバの温度、ウエハの温度、無線周波数(RF)電力レベル、ウエハチャック又は台座の位置、及びその他のパラメータを制御するための命令一式を含む、システム制御ソフトウェアを実行する。実施形態によっては、コントローラ929に関係付けられたメモリデバイスに格納されたその他のコンピュータプログラムが用いられてよい。
通常は、コントローラ929に、ユーザインターフェースが関係付けられる。ユーザインターフェースとして、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びにポインティングデバイス、キーボード、タッチ画面、マイクロフォン等などのユーザ入力機器が挙げられる。
システム制御ロジックが、任意に適切に構成されてよい。一般的には、ロジックは、ハードウェア及び/又はソフトウェアとして設計又は構成されてよい。駆動回路構成を制御するための命令が、ハードコード化されてよい又はソフトウェアとして提供されてよい。命令は、「プログラミング」によって提供されてよい。このようなプログラミングは、デジタル信号プロセッサ、特殊用途向け集積回路、及びハードウェアとして特定のアルゴリズムが実装されたその他のデバイスの中の、ハードコード化されたロジックなどの、任意の形態のロジックを含むものとして理解される。プログラミングは、汎用プロセッサ上で実行されえるソフトウェア命令又はファームウェア命令を含むものとしても理解される。システム制御ソフトウェアが、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素の流れ、及びタングステン含有前駆体パルス、並びに、一連のプロセス内のその他のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどの、従来の任意のコンピュータ読み取り可能プログラミング言語で記述できる。プログラムに指定されたタスクを実施するために、プロセッサによって、コンパイル済みのオブジェクトコード又はスクリプトが実行される。また、述べられたように、プログラムコードは、ハードコード化されてよい。
コントローラパラメータは、例えば、プロセスガスの組成及び流量、温度、圧力、冷却ガスの圧力、基板の温度、チャンバ壁の温度などの、プロセス条件に関する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインターフェースを用いて入力されてよい。プロセスを監視するための信号が、システムコントローラ929のアナログ入力接続及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、処理システム900のアナログ出力接続及びデジタル出力接続に載せて出力される。
システムソフトウェアは、様々に設計又は構成されてよい。例えば、開示された実施形態にしたがってプロセスを行うために必要とされるチャンバコンポーネントの動作を制御するために、各種のチャンバコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。この目的のためのプログラム又はプログラムセクションの例として、基板位置決めコード、プロセスガス制御コード、圧力制御コード、及びヒータ制御コードが挙げられる。
一部の実装形態では、コントローラ929は、システムの一部であり、該システムは、上述された例の一部であってよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、処理のための1つ以上のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガスフローシステムなど)などの、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後にそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と称されてよく、これは、1つ以上のシステムの各種のコンポーネント又は副部品を制御してよい。コントローラ929は、処理要件及び/又はシステムタイプに応じて、処理ガスの配送、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、一部のシステムにおける無線周波数(RF)生成器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、ツールに対して並びに特定のシステムに接続された又はインターフェース接続されたその他の移送ツール及び/又はロードロックに対してウエハを出入りさせるウエハ移送などの、本書で開示される任意のプロセスを制御するようにプログラムされてよい。
概して、コントローラ829/929は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う各種の集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、各種の個別設定(又はプログラムファイル)の形でコントローラに伝えられて、半導体ウエハに対して若しくは半導体ウエハのための又はシステムへの特定のプロセスを実行に移すための動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作中に1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラは、一部の実装形態では、システムと一体化された、システムに結合された、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、又はそのようなコンピュータに結合されてよい。例えば、コントローラは、「クラウド」の中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現進行状況を監視するために、又は過去の製作動作の履歴を調査するために、又は複数の製作動作から傾向若しくは性能基準を調査するために、又は現行の処理のパラメータを変更するために、又は処理工程を設定して現行の処理を追跡するために、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えば、サーバ)が、ローカルネットワーク又はインターネットなどが挙げられるネットワークを通じてシステムにプロセスレシピを提供することができる。遠隔コンピュータは、パラメータ及び/若しくは設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。一部の例では、コントローラは、1つ以上の動作の最中に実施されるべき各処理工程のためのパラメータを指定するデータの形式で命令を受信する。これらのパラメータは、実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であることが、理解されるべきである。したがって、上述されたように、コントローラは、ネットワークによって結ばれて本書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルで又は遠隔コンピュータの一部としてなどで)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路とやり取りするチャンバ上の1つ以上の集積回路が挙げられるだろう。
限定されることなく、システムの例として、プラズマエッチングチャンバ又はプラズマエッチングモジュール、堆積チャンバ又は堆積モジュール、スピンリンスチャンバ又はスピンリンスモジュール、金属めっきチャンバ又は金属めっきモジュール、洗浄チャンバ又は洗浄モジュール、ベベルエッジエッチングチャンバ又はベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ又はPVDモジュール、化学気相成長(CVD)チャンバ又はCVDモジュール、ALDチャンバ又はALDモジュール、原子層エッチング(ALE)チャンバ又はALEモジュール、イオン注入チャンバ又はイオン注入モジュール、追跡チャンバ又は追跡モジュール、並びに半導体ウエハの製作及び/又は生産に関係付けられてよい又はそれに使用されてよいその他のあらゆる半導体処理システムが挙げられる。
上記のように、クラスタツールによって実施される1つ以上のプロセス工程に応じて、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所に設置されたツール、メインコンピュータ、別のコントローラ、又は半導体生産工場の中のツール場所及び/若しくはロードポートに対してウエハ入り容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。
本書で説明される装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製作又は生産のために、リソグラフィパターニングのツール又はプロセスと併せて使用されてよい。このようなツール/プロセスは、通常は、共通の製作設備の中で併せて使用され又は行われ、ただし、これは、必ずしも必然のことではない。膜のリソグラフィパターニングは、通常は、(1)スピンオンツール又は噴き付けツールを使用して、被加工物、即ち基板上にフォトレジストを塗布する動作、(2)加熱板又は加熱炉又はUV硬化ツールを使用して、フォトレジストを硬化させる動作、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露する動作、(4)レジストを選択的に除去してそれによってパターニングするために、ウェットベンチなどのツールを使用して、レジストを現像する動作、(5)ドライ式又はプラズマ支援式のエッチングツールを使用することによって、レジストパターンをその下の膜又は被加工物に転写する動作、並びに(6)RF又はマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する動作の、一部又は全部を含み、各動作は、考えられる幾つかのツールによって可能にされる。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、特定の変更及び修正が、添付の特許請求の範囲内でなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実現する多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。
パターニングされた第1のコア101は、炭素含有材料又はシリコン含有材料であってよい。一部の実施形態では、パターニングされた第1のコア101は、フォトレジストである。パターニングされた第1のコア101は、リソグラフィによって画定され、第2のコア103をエッチングするために使用される。第2のコア103は、プラズマ強化式化学気相成長(PECVD)などの任意の適切な堆積技術によって堆積されてよく、該堆積技術は、炭化水素前駆体を含む堆積ガスから堆積チャンバ内でプラズマを発生させることを伴ってよい。炭化水素前駆体は、式Cxyで定義されてよく、ここでは、xは、から10の間の整数であり、yは、2から24の間の整数である。例として、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、及びトルエン(C78)が挙げられる。高周波数(HF)電力及び低周波数(LF)電力を含む二重無線周波数(RF)プラズマ源が使用されてよい。
図1Iでは、トリミングされた第3のコア135は、引き続きターゲット層107をエッチングするために使用される自立した第2のスペーサを残すために、選択的に除去され、その結果、パターンターゲット層127が得られる。一部の実施形態では、トリミングされた第3のコア135の側壁の傾斜が原因で、基板100上に、残留した第3のコア材料が幾らか残されることがある。トリミングされた第3のコア135は、図1Dにおけるパターニングされた第2のコア113の選択的エッチングと同じ又は類似の化学剤を使用して選択的にエッチングされてよい。図1Iに示されるように、先立つコアトリミングプロセスからの先細のフッティングが原因で、得られるターゲット層127のパターンには、一貫性が無く、したがって、トリミングされた第3のコア135のフッティングが原因で、側壁は、垂直にエッチングされないだろう。したがって、第2の共形膜120の堆積前に、垂直な側壁を有するようにスペーサを形成することが望まれている。
図3は、開示された特定の実施形態にしたがった方法の動作を実施するためのプロセスの流れ図を示している。図3の動作は、約4Torrから約10Torr、又は約1Torrから約10Torr、又は約1Torrから約2Torrなどの、約1ミリTorrから約100Torrの間のチャンバ圧力で実施されてよい。動作30では、基板又はウエハが、処理チャンバに提供される。チャンバは、複数チャンバからなる装置の一チャンバ、又は1つのチャンバからなる装置であってよい。基板は、上に誘電体材料、導電性材料、又は半導電性材料などの1枚以上の材料層が堆積されるウエハなどの、200mmウエハ、300mmウエハ、又は450mmウエハを例とするシリコンウエハであってよい。基板は、基板を保持するための台座上にあってよい。台座は、約35℃から約100℃の間の温度に設定されてよい。この温度は、本書では基板温度として言及されてよいが、基板温度は、基板を保持している台座が設定される温度であることが理解される。
特定の実施形態では、酸素含有ガスへの暴露段階504Aのためのシャワーヘッドの流れが、約5~15slmの範囲であってよく、プラズマを伴う不活性ガスへの暴露段階508Aのためのシャワーヘッドの流れは、約5~15slmの範囲であってよく、パージ段階506A及び510Aのためのパージの流れは、約20~40slmの範囲であってよい。
一部の実施形態では、コントローラ650のための命令が、入出力制御(IOC)シークエンシング命令を通じて提供されてよい。一例では、プロセス段階のための条件を設定するための命令が、プロセスレシピの中の対応するレシピ段階に含められてよい。場合によっては、プロセスレシピ段階は、或るプロセス段階のための全ての命令がそのプロセス段階に並行して実行されるように、順次配置されてよい。一部の実施形態では、1つ以上のリアクタパラメータを設定するための命令が、レシピ段階に含められてよい。例えば、第1のレシピ段階は、不活性ガス及び/又は反応剤ガス(例えば酸素含有ガス)の流量を設定するための命令と、(アルゴンなどの)キャリアガスの流量を調整するための命令と、第1のレシピ段階のための時間遅延命令とを含んでいてよい。続く第2のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第2のレシピ段階のための時間遅延命令とを含んでいてよい。第3のレシピ段階は、アルゴンなどの第2のガスの流量を調節するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、4ステーション型処理ツール用に約250Wから約750Wの間の低プラズマ電力でプラズマを着火するための命令と、第3のレシピ段階のための時間遅延命令とを含んでいてよい。続く第4のレシピ段階は、不活性ガス及び/又は反応剤ガスの流量を調節又は停止するための命令と、キャリアガス又はパージガスの流量を調節するための命令と、第のレシピ段階のための時間遅延命令とを含んでいてよい。このようなレシピは、エッチング対象の下位層の表面と約90度±5度の地点で交わる垂直な側壁を得るために基板上のコア材料などの炭素含有材料をエッチングするために使用されてよい。更なるレシピが続いてよく、パターニングされたコア材料の上にALDによって共形膜を堆積させるために使用されてよい。例えば、パターニングされたコア材料の上にシリコン酸化物共形膜を堆積させるために、1つの追加のレシピ段階が、シリコン含有前駆体の流量を設定するための命令を含むとともに、別の追加のレシピ段階が、酸素含有反応剤の流量を設定するための命令と、この追加のレシピ段階のための時間遅延命令とを含んでいてよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な形で更に細分化及び/又は反復されてよいことがわかる。
連のプロセス内のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどの、従来の任意のコンピュータ読み取り可能プログラミング言語で記述できる。プログラムに指定されたタスクを実施するために、プロセッサによって、コンパイル済みのオブジェクトコード又はスクリプトが実行される。また、述べられたように、プログラムコードは、ハードコード化されてよい。
図9は、特定の実施形態にしたがったプロセスにしたがった又はそのようなプロセスを行うのに適した代替の処理システムのブロック図である。システム900は、移送モジュール903を含む。移送モジュール903は、処理されている基板が各種のリアクタモジュール間で移動されるのに伴って汚染されるリスクを最小限に抑えるために、清浄な加圧環境を提供する。移送モジュール903上には、この文脈ではリアクタ又はツールモジュール又は単純にモジュールと呼ばれるつのマルチステーション型リアクタ907、908、及び909が搭載され、それぞれ、特定の実施形態にしたがった原子層堆積(ALD)及び/又は化学気相成長(CVD)及び/又は原子層エッチング(ALE)を実施することができる。リアクタ907、908、及び909は、複数のステーション911、913、915、及び917を含んでいてよく、これらのステーションは、開示された実施形態にしたがって順次方式で又は非順次方式で動作を実施してよい。ステーションは、加熱された台座又は基板サポートと、1つ以上のガス入口又はシャワーヘッド又は分散板とを含んでいてよい。モジュール907、908、及び909の1つ以上が、プラズマ方式若しくは化学(非プラズマ)方式の前洗浄、又は場合によっては例えば堆積プロセス若しくはエッチングプロセスに備えて基板を整えるなどのための各種の処置に使用されるなど開示された方法に関連して説明されたその他の任意のプロセスを、実施可能であってよい。
連のプロセス内のプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、Pascal、Fortranなどの、従来の任意のコンピュータ読み取り可能プログラミング言語で記述できる。プログラムに指定されたタスクを実施するために、プロセッサによって、コンパイル済みのオブジェクトコード又はスクリプトが実行される。また、述べられたように、プログラムコードは、ハードコード化されてよい。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、特定の変更及び修正が、添付の特許請求の範囲内でなされてよいことが明らかである。本実施形態のプロセス、システム、及び装置を実現する多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。本開示は以下の適用例としても実現できる。
[適用例1]
半導体基板を処理する方法であって、
炭素含有材料内にフィーチャのパターンを含む半導体基板をプロセスチャンバに提供することと、
微小寸法を縮小し、実質的に垂直な側壁を有するトリミングされた炭素含有フィーチャを形成するために、前記炭素含有材料内の前記フィーチャを原子層エッチングによってトリミングすることと、
を備え、
前記原子層エッチングは、
前記炭素含有材料の表面を改質して、前記炭素含有材料の改質表面を形成するために、プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの表面を酸素含有ガスに暴露することと、
前記炭素含有材料の前記改質表面を除去し、前記トリミングされた炭素含有フィーチャを形成するために、前記炭素含有材料の前記改質表面を不活性ガスに暴露し、プラズマを着火することと、
を含む、方法。
[適用例2]
適用例1に記載の方法であって、
前記酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、二酸化炭素、及びこれらの組み合わせからなる群より選択される、方法。
[適用例3]
適用例1に記載の方法であって、
前記不活性ガスは、ヘリウム、窒素、アルゴン、及びこれらに組み合わせからなる群より選択される、方法。
[適用例4]
適用例1に記載の方法であって、
前記炭素含有材料は、スピンオン炭素、フォトレジスト、及び非晶質炭素からなる群より選択される、方法。
[適用例5]
適用例1に記載の方法であって、
前記プロセスチャンバは、約1Torrから約10Torrの間のチャンバ圧力に設定される、方法。
[適用例6]
適用例1に記載の方法であって、
前記プラズマは、約50Wから約250Wの間のプラズマ電力を使用して着火される、方法。
[適用例7]
適用例1に記載の方法であって、更に、
前記プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することと、前記炭素含有材料の前記改質表面を前記不活性ガスに暴露し前記プラズマを着火することとの間に、前記チャンバをパージすることを備える方法。
[適用例8]
適用例7に記載の方法であって、
前記チャンバは、約0.1秒から約0.5秒の間の持続時間にわたってパージされる、方法。
[適用例9]
適用例1に記載の方法であって、
前記原子層エッチングは、更に、前記炭素含有材料内の前記フィーチャの前記表面を暴露することと、前記炭素含有材料の前記改質表面を暴露することとを、サイクル単位で繰り返すことを含む、方法。
[適用例10]
適用例9に記載の方法であって、
約5サイクルから約100サイクルの間のサイクル数が実施される、方法。
[適用例11]
適用例9に記載の方法であって、更に、
前記トリミングされた炭素含有フィーチャを形成した後に、前記トリミングされた炭素含有フィーチャの上に、真空を破ることなく原子層堆積によって膜を共形的に堆積させることを備える方法。
[適用例12]
適用例11に記載の方法であって、
前記炭素含有材料内の前記フィーチャをトリミングすることと、前記トリミングされた炭素含有フィーチャの上に膜を共形的に堆積させることとが、同じ前記チャンバ内で実施される、方法。
[適用例13]
適用例11に記載の方法であって、
共形的に堆積される前記膜は、シリコン酸化物、シリコン窒化物、シリコン炭化物、及び金属酸化物からなる群より選択される材料を含む、方法。
[適用例14]
適用例1に記載の方法であって、更に、
前記半導体基板を提供した後で且つ前記炭素含有材料内の前記フィーチャをトリミングする前に、前記基板を約35℃から約100℃の間の温度に加熱することを備える方法。
[適用例15]
適用例1に記載の方法であって、
前記プロセスチャンバに提供された前記半導体基板上における前記炭素含有材料内の前記フィーチャのパターンのアスペクト比は、約6:1から約10:1の間である、方法。
[適用例16]
適用例1又は2に記載の方法であって、
前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することは、更に、ヘリウム、窒素、アルゴン、及びこれらの組み合わせからなる群より選択されるキャリアガスを導入することを含む、方法。
[適用例17]
適用例1又は2に記載の方法であって、
前記炭素含有材料の前記改質表面を暴露する動作で着火される前記プラズマは、27MHz容量結合プラズマ、13MHz容量結合プラズマ、誘導結合プラズマ、及び遠隔プラズマからなる群より選択される1つ以上のエネルギ源から生成される、方法。
[適用例18]
適用例1又は17に記載の方法であって、
前記トリミングは、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給容量結合プラズマ(CCP)、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給誘導結合プラズマ(ICP)、
シャワーヘッドを通じて前記不活性ガスも供給される、接地台座を伴う又は伴わない、前記酸素含有ガスのシャワーヘッド供給、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzの任意の組み合わせによる混合周波数CCPプラズマ、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給CCPプラズマ、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける台座供給CCPプラズマ、及び
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける混合周波数台座供給CCPプラズマの、1つ以上を含む、方法。
[適用例19]
半導体基板を処理するための装置であって、
台座をそれぞれ含む1つ以上のプロセスチャンバと、
真空に結合するための1つ以上の出口と、
1つ以上の酸素含有ガス源及び関連の酸素含有ガス流量制御ハードウェアに結合された1つ以上のガス入口と、
1つ以上の不活性ガス源及び関連の不活性ガス流量制御ハードウェアに結合された1つ以上のガス入口と、
プラズマ生成器と、
前記装置における動作を制御するためのコントローラと、
を備え、
前記コントローラは、少なくとも1つのプロセッサ、及びメモリを、これらが互いに通信可能式に接続されるように含み、前記少なくとも1つのプロセッサは、前記流量制御ハードウェアに少なくとも動作可能式に接続され、前記メモリは、プラズマを伴うことなく酸素含有ガスを第1のプロセスチャンバに導入するためのコンピュータ実行可能命令と、真空を破ることなく不活性ガスを前記第1のプロセスチャンバに導入しプラズマを着火するためのコンピュータ実行可能命令とを格納する、装置。
[適用例20]
適用例19に記載の装置であって、更に、
シリコン含有前駆体ガス源に結合された1つ以上のガス入口と、
シリコン含有前駆体ガスと反応させるための酸素含有反応剤に結合された1つ以上のガス入口と、
を備え、
前記メモリは、更に、nが5及び100を含む5から100の間の整数であるときにnサイクルにわたって適用例19に記載の動作を繰り返すためのコンピュータ実行可能命令と、その後、真空を破ることなく、原子層堆積によってシリコン酸化物膜を堆積させるために前記シリコン含有前駆体ガスのパルスと前記酸素含有反応剤のパルスとを交互に導入するためのコンピュータ実行可能命令とを格納する、装置。
[適用例21]
適用例19又は20に記載の装置であって、
前記プラズマ源は、27MHz及び/若しくは13MHzの容量結合プラズマ、誘導結合プラズマ、及び遠隔プラズマからなる群より選択される1つ以上のエネルギ源を含む、装置。
[適用例22]
適用例19又は20に記載の装置であって、
前記装置は、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給容量結合プラズマ(CCP)、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給誘導結合プラズマ(ICP)、
シャワーヘッドを通じて前記不活性ガスも供給される、接地台座を伴う又は伴わない、前記酸素含有ガスのシャワーヘッド供給、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzの任意の組み合わせによる混合周波数CCPプラズマ、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給CCPプラズマ、
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける台座供給CCPプラズマ、及び
シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける混合周波数台座供給CCPプラズマの、1つ以上用に構成される、装置。
[適用例23]
適用例19又は20に記載の装置であって、
前記装置は、発光分光センサも含む、装置。
[適用例24]
適用例19又は20に記載の装置であって、
前記コンピュータ実行可能命令は、更に、炭素含有材料の改質表面を形成するために、前記炭素含有材料内のフィーチャの表面を前記酸素含有ガスに暴露するための命令を含み、前記酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、二酸化炭素、及びこれらの組み合わせからなる群より選択される、装置。
[適用例25]
適用例24に記載の装置であって、
前記コンピュータ実行可能命令は、更に、前記炭素含有フィーチャの前記改質表面を前記不活性ガスに暴露し前記プラズマを着火するための命令を含み、前記不活性ガスは、ヘリウム、窒素、アルゴン、及びこれらに組み合わせからなる群より選択される、装置。
[適用例26]
適用例25に記載の装置であって、
前記コンピュータ実行可能命令は、更に、前記プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することと、前記炭素含有材料の前記改質表面を前記不活性ガスに暴露し前記プラズマを着火することとの間に、前記チャンバをパージするための命令を含む、装置。

Claims (26)

  1. 半導体基板を処理する方法であって、
    炭素含有材料内にフィーチャのパターンを含む半導体基板をプロセスチャンバに提供することと、
    微小寸法を縮小し、実質的に垂直な側壁を有するトリミングされた炭素含有フィーチャを形成するために、前記炭素含有材料内の前記フィーチャを原子層エッチングによってトリミングすることと、
    を備え、
    前記原子層エッチングは、
    前記炭素含有材料の表面を改質して、前記炭素含有材料の改質表面を形成するために、プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの表面を酸素含有ガスに暴露することと、
    前記炭素含有材料の前記改質表面を除去し、前記トリミングされた炭素含有フィーチャを形成するために、前記炭素含有材料の前記改質表面を不活性ガスに暴露し、プラズマを着火することと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、二酸化炭素、及びこれらの組み合わせからなる群より選択される、方法。
  3. 請求項1に記載の方法であって、
    前記不活性ガスは、ヘリウム、窒素、アルゴン、及びこれらに組み合わせからなる群より選択される、方法。
  4. 請求項1に記載の方法であって、
    前記炭素含有材料は、スピンオン炭素、フォトレジスト、及び非晶質炭素からなる群より選択される、方法。
  5. 請求項1に記載の方法であって、
    前記プロセスチャンバは、約1Torrから約10Torrの間のチャンバ圧力に設定される、方法。
  6. 請求項1に記載の方法であって、
    前記プラズマは、約50Wから約250Wの間のプラズマ電力を使用して着火される、方法。
  7. 請求項1に記載の方法であって、更に、
    前記プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することと、前記炭素含有材料の前記改質表面を前記不活性ガスに暴露し前記プラズマを着火することとの間に、前記チャンバをパージすることを備える方法。
  8. 請求項7に記載の方法であって、
    前記チャンバは、約0.1秒から約0.5秒の間の持続時間にわたってパージされる、方法。
  9. 請求項1に記載の方法であって、
    前記原子層エッチングは、更に、前記炭素含有材料内の前記フィーチャの前記表面を暴露することと、前記炭素含有材料の前記改質表面を暴露することとを、サイクル単位で繰り返すことを含む、方法。
  10. 請求項9に記載の方法であって、
    約5サイクルから約100サイクルの間のサイクル数が実施される、方法。
  11. 請求項9に記載の方法であって、更に、
    前記トリミングされた炭素含有フィーチャを形成した後に、前記トリミングされた炭素含有フィーチャの上に、真空を破ることなく原子層堆積によって膜を共形的に堆積させることを備える方法。
  12. 請求項11に記載の方法であって、
    前記炭素含有材料内の前記フィーチャをトリミングすることと、前記トリミングされた炭素含有フィーチャの上に膜を共形的に堆積させることとが、同じ前記チャンバ内で実施される、方法。
  13. 請求項11に記載の方法であって、
    共形的に堆積される前記膜は、シリコン酸化物、シリコン窒化物、シリコン炭化物、及び金属酸化物からなる群より選択される材料を含む、方法。
  14. 請求項1に記載の方法であって、更に、
    前記半導体基板を提供した後で且つ前記炭素含有材料内の前記フィーチャをトリミングする前に、前記基板を約35℃から約100℃の間の温度に加熱することを備える方法。
  15. 請求項1に記載の方法であって、
    前記プロセスチャンバに提供された前記半導体基板上における前記炭素含有材料内の前記フィーチャのパターンのアスペクト比は、約6:1から約10:1の間である、方法。
  16. 請求項1又は2に記載の方法であって、
    前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することは、更に、ヘリウム、窒素、アルゴン、及びこれらの組み合わせからなる群より選択されるキャリアガスを導入することを含む、方法。
  17. 請求項1又は2に記載の方法であって、
    前記炭素含有材料の前記改質表面を暴露する動作で着火される前記プラズマは、27MHz容量結合プラズマ、13MHz容量結合プラズマ、誘導結合プラズマ、及び遠隔プラズマからなる群より選択される1つ以上のエネルギ源から生成される、方法。
  18. 請求項1又は17に記載の方法であって、
    前記トリミングは、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給容量結合プラズマ(CCP)、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給誘導結合プラズマ(ICP)、
    シャワーヘッドを通じて前記不活性ガスも供給される、接地台座を伴う又は伴わない、前記酸素含有ガスのシャワーヘッド供給、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzの任意の組み合わせによる混合周波数CCPプラズマ、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給CCPプラズマ、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける台座供給CCPプラズマ、及び
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける混合周波数台座供給CCPプラズマの、1つ以上を含む、方法。
  19. 半導体基板を処理するための装置であって、
    台座をそれぞれ含む1つ以上のプロセスチャンバと、
    真空に結合するための1つ以上の出口と、
    1つ以上の酸素含有ガス源及び関連の酸素含有ガス流量制御ハードウェアに結合された1つ以上のガス入口と、
    1つ以上の不活性ガス源及び関連の不活性ガス流量制御ハードウェアに結合された1つ以上のガス入口と、
    プラズマ生成器と、
    前記装置における動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、少なくとも1つのプロセッサ、及びメモリを、これらが互いに通信可能式に接続されるように含み、前記少なくとも1つのプロセッサは、前記流量制御ハードウェアに少なくとも動作可能式に接続され、前記メモリは、プラズマを伴うことなく酸素含有ガスを第1のプロセスチャンバに導入するためのコンピュータ実行可能命令と、真空を破ることなく不活性ガスを前記第1のプロセスチャンバに導入しプラズマを着火するためのコンピュータ実行可能命令とを格納する、装置。
  20. 請求項19に記載の装置であって、更に、
    シリコン含有前駆体ガス源に結合された1つ以上のガス入口と、
    シリコン含有前駆体ガスと反応させるための酸素含有反応剤に結合された1つ以上のガス入口と、
    を備え、
    前記メモリは、更に、nが5及び100を含む5から100の間の整数であるときにnサイクルにわたって請求項19に記載の動作を繰り返すためのコンピュータ実行可能命令と、その後、真空を破ることなく、原子層堆積によってシリコン酸化物膜を堆積させるために前記シリコン含有前駆体ガスのパルスと前記酸素含有反応剤のパルスとを交互に導入するためのコンピュータ実行可能命令とを格納する、装置。
  21. 請求項19又は20に記載の装置であって、
    前記プラズマ源は、27MHz及び/若しくは13MHzの容量結合プラズマ、誘導結合プラズマ、及び遠隔プラズマからなる群より選択される1つ以上のエネルギ源を含む、装置。
  22. 請求項19又は20に記載の装置であって、
    前記装置は、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給容量結合プラズマ(CCP)、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給誘導結合プラズマ(ICP)、
    シャワーヘッドを通じて前記不活性ガスも供給される、接地台座を伴う又は伴わない、前記酸素含有ガスのシャワーヘッド供給、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzの任意の組み合わせによる混合周波数CCPプラズマ、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおけるシャワーヘッド供給CCPプラズマ、
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける台座供給CCPプラズマ、及び
    シャワーヘッドを通じて前記酸素含有ガス及び前記不活性ガスが供給される、接地台座を伴う13.56MHz、27MHz、又は60MHzにおける混合周波数台座供給CCPプラズマの、1つ以上用に構成される、装置。
  23. 請求項19又は20に記載の装置であって、
    前記装置は、発光分光センサも含む、装置。
  24. 請求項19又は20に記載の装置であって、
    前記コンピュータ実行可能命令は、更に、炭素含有材料の改質表面を形成するために、前記炭素含有材料内のフィーチャの表面を前記酸素含有ガスに暴露するための命令を含み、前記酸素含有ガスは、酸素、オゾン、水蒸気、亜酸化窒素、一酸化炭素、ギ酸蒸気、二酸化炭素、及びこれらの組み合わせからなる群より選択される、装置。
  25. 請求項24に記載の装置であって、
    前記コンピュータ実行可能命令は、更に、前記炭素含有フィーチャの前記改質表面を前記不活性ガスに暴露し前記プラズマを着火するための命令を含み、前記不活性ガスは、ヘリウム、窒素、アルゴン、及びこれらに組み合わせからなる群より選択される、装置。
  26. 請求項25に記載の装置であって、
    前記コンピュータ実行可能命令は、更に、前記プラズマを伴うことなく前記炭素含有材料内の前記フィーチャの前記表面を前記酸素含有ガスに暴露することと、前記炭素含有材料の前記改質表面を前記不活性ガスに暴露し前記プラズマを着火することとの間に、前記チャンバをパージするための命令を含む、装置。
JP2022169566A 2017-04-24 2022-10-24 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源 Active JP7460727B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201762489113P 2017-04-24 2017-04-24
US62/489,113 2017-04-24
US15/582,359 US9997371B1 (en) 2017-04-24 2017-04-28 Atomic layer etch methods and hardware for patterning applications
US15/582,359 2017-04-28
US201862624520P 2018-01-31 2018-01-31
US62/624,520 2018-01-31
US15/955,099 2018-04-17
US15/955,099 US10832909B2 (en) 2017-04-24 2018-04-17 Atomic layer etch, reactive precursors and energetic sources for patterning applications
JP2018081932A JP7165506B2 (ja) 2017-04-24 2018-04-23 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018081932A Division JP7165506B2 (ja) 2017-04-24 2018-04-23 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源

Publications (2)

Publication Number Publication Date
JP2023012492A true JP2023012492A (ja) 2023-01-25
JP7460727B2 JP7460727B2 (ja) 2024-04-02

Family

ID=63854077

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018081932A Active JP7165506B2 (ja) 2017-04-24 2018-04-23 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
JP2022169566A Active JP7460727B2 (ja) 2017-04-24 2022-10-24 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018081932A Active JP7165506B2 (ja) 2017-04-24 2018-04-23 パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源

Country Status (6)

Country Link
US (1) US10832909B2 (ja)
JP (2) JP7165506B2 (ja)
KR (1) KR102625972B1 (ja)
CN (1) CN108847386B (ja)
SG (1) SG10201803376RA (ja)
TW (1) TWI780145B (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10304680B1 (en) * 2017-12-22 2019-05-28 Macronix International Co., Ltd. Fabricating semiconductor devices having patterns with different feature sizes
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10714380B2 (en) * 2018-10-26 2020-07-14 Globalfoundries Inc. Method of forming smooth sidewall structures using spacer materials
CN111211046B (zh) * 2019-07-08 2020-12-11 合肥晶合集成电路有限公司 预处理方法、金属硅化物的形成方法以及半导体处理装置
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR102224128B1 (ko) * 2019-08-05 2021-03-09 한양대학교 산학협력단 탄소 함유 박막의 증착방법
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN115552573A (zh) * 2020-05-12 2022-12-30 朗姆研究公司 刺激响应聚合物膜的受控降解
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
KR20230057348A (ko) 2020-09-01 2023-04-28 가부시키가이샤 아데카 에칭 방법
CN112614775A (zh) * 2020-12-16 2021-04-06 上海华力微电子有限公司 半导体器件及其制造方法
US11640905B2 (en) * 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR20240046605A (ko) * 2021-08-24 2024-04-09 램 리써치 코포레이션 멀티-패터닝에서 인-시츄 코어 보호

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001255670A (ja) * 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
JP2002023390A (ja) * 2000-06-28 2002-01-23 Hynix Semiconductor Inc 半導体素子の感光膜パターンの形成方法
JP2004363444A (ja) * 2003-06-06 2004-12-24 Toshiba Corp 半導体装置の製造方法、及び基板処理装置
JP2010062363A (ja) * 2008-09-04 2010-03-18 Tokyo Electron Ltd プラズマ処理方法およびレジストパターンの改質方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP2017503359A (ja) * 2014-01-13 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
US20170053808A1 (en) * 2015-08-19 2017-02-23 Lam Research Corporation Self limiting lateral atomic layer etch
US20170069462A1 (en) * 2015-09-04 2017-03-09 Lam Research Corporation Ale smoothness: in and outside semiconductor industry
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
WO1999036956A1 (en) 1998-01-13 1999-07-22 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6755945B2 (en) 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US7553427B2 (en) 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
CN103502506B (zh) * 2011-04-29 2016-06-08 应用材料公司 用于在涂覆工艺中钝化柔性基板的装置和方法
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
TWI541377B (zh) * 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102399752B1 (ko) 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9675811B2 (en) 2014-08-28 2017-06-13 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9633896B1 (en) * 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001255670A (ja) * 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
JP2002023390A (ja) * 2000-06-28 2002-01-23 Hynix Semiconductor Inc 半導体素子の感光膜パターンの形成方法
JP2004363444A (ja) * 2003-06-06 2004-12-24 Toshiba Corp 半導体装置の製造方法、及び基板処理装置
JP2010062363A (ja) * 2008-09-04 2010-03-18 Tokyo Electron Ltd プラズマ処理方法およびレジストパターンの改質方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2017503359A (ja) * 2014-01-13 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積法による、自己整合ダブルパターニング
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
US20170053808A1 (en) * 2015-08-19 2017-02-23 Lam Research Corporation Self limiting lateral atomic layer etch
US20170069462A1 (en) * 2015-09-04 2017-03-09 Lam Research Corporation Ale smoothness: in and outside semiconductor industry
JP2017199909A (ja) * 2016-04-29 2017-11-02 ラム リサーチ コーポレーションLam Research Corporation Aleおよび選択的蒸着を用いた基板のエッチング

Also Published As

Publication number Publication date
US10832909B2 (en) 2020-11-10
US20180308695A1 (en) 2018-10-25
KR20180119133A (ko) 2018-11-01
TW201903886A (zh) 2019-01-16
CN108847386B (zh) 2024-01-30
SG10201803376RA (en) 2018-11-29
CN108847386A (zh) 2018-11-20
JP7165506B2 (ja) 2022-11-04
TWI780145B (zh) 2022-10-11
JP7460727B2 (ja) 2024-04-02
KR102625972B1 (ko) 2024-01-16
JP2018186269A (ja) 2018-11-22

Similar Documents

Publication Publication Date Title
JP7165506B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
CN107045969B (zh) 用于图案化非挥发性金属的室
JP6038975B2 (ja) 半導体基板を処理する方法
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
JP2024500671A (ja) 広いギャップ電極間隔の低圧条件における、高選択性、低応力、および低水素の炭素ハードマスク
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221114

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240321

R150 Certificate of patent or registration of utility model

Ref document number: 7460727

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150