KR20180119133A - 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들 - Google Patents

패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들 Download PDF

Info

Publication number
KR20180119133A
KR20180119133A KR1020180046846A KR20180046846A KR20180119133A KR 20180119133 A KR20180119133 A KR 20180119133A KR 1020180046846 A KR1020180046846 A KR 1020180046846A KR 20180046846 A KR20180046846 A KR 20180046846A KR 20180119133 A KR20180119133 A KR 20180119133A
Authority
KR
South Korea
Prior art keywords
carbon
plasma
oxygen
gas
showerhead
Prior art date
Application number
KR1020180046846A
Other languages
English (en)
Other versions
KR102625972B1 (ko
Inventor
애드리언 라보이
펄킷 아가월
프루쇼탐 쿠마
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/582,359 external-priority patent/US9997371B1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180119133A publication Critical patent/KR20180119133A/ko
Application granted granted Critical
Publication of KR102625972B1 publication Critical patent/KR102625972B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Abstract

에칭될 층 위에 탄소-함유 재료를 패터닝하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 탄소-함유 재료의 표면을 개질하기 위해 플라즈마를 사용하지 않고 산소-함유 가스에 탄소-함유 재료를 노출하는 것 및 탄소-함유 재료의 개질된 표면을 제거하도록 탄소-함유 재료를 불활성 가스에 노출하고 플라즈마를 점화하는 것을 포함하는 ALE (atomic layer etching) 에 의해 탄소-함유 재료를 트리밍하는 단계를 수반한다. 방법들은 더블 및 쿼드 패터닝과 같은 다중 패터닝 기법들에 사용될 수도 있다. 방법들은 또한 진공을 깨뜨리지 않고 ALE를 사용하여 패터닝된 탄소-함유 재료 의에 컨포멀한 막을 증착하는 단계를 포함한다. 산소-함유 가스는 산소, 오존, 수증기, 아산화질소, 일산화탄소, 포름산 증기 및/또는 이산화탄소 중 임의의 하나 이상을 함유할 수도 있다. 장치는 27 및/또는 13 ㎒ 용량 결합 플라즈마들; 및/또는 유도 결합 플라즈마들, 예를 들어, 리모트 플라즈마들을 포함하는 대체 에너지 소스들을 포함할 수도 있다.

Description

패터닝 적용들을 위한 ALE (ATOMIC LAYER ETCH), 반응성 전구체들 및 에너제틱 소스들{ATOMIC LAYER ETCH, REACTIVE PRECURSORS AND ENERGETIC SOURCES FOR PATTERNING APPLICATIONS}
발전된 집적 회로들의 제조는 종종 반도체들의 대량 생산시 작은 피처들의 패터닝을 수반한다. 다중 패터닝 기법들이 193 ㎚ 액침 리소그래피와 같은 리소그래피 기법들에 기초하여 피처 사이즈 스케일링을 인에이블할 수도 있다. 자기-정렬 더블 패터닝이 복수의 패터닝 기법의 예이다. 다중 패터닝은 통상적으로 종래의 등방성 에칭 기법들에 의해 수행되는 재료들의 에칭을 수반한다.
반도체 기판들을 프로세싱하는 방법들이 본 명세서에 제공된다. 일 양태는 반도체 기판을 프로세싱하는 방법으로서, 방법은: (a) 탄소-함유 피처들의 패턴을 갖는 탄소 함유 재료를 포함하는 반도체 기판을 챔버로 제공하는 단계; 및 (b) CD를 감소시키고 수직 측벽들을 갖는 트리밍된 탄소-함유 피처들을 형성하기 위해 ALE에 의해 탄소-함유 피처들을 트리밍하는 단계를 포함하고, ALE는: (i) 탄소-함유 피처들의 표면을 개질하기 위해 플라즈마를 사용하지 않고 탄소-함유 재료의 표면을 산소-함유 가스에 노출하는 단계; 및 (ii) 탄소-함유 피처들의 개질된 표면을 불활성 가스에 노출하고 탄소-함유 피처들의 개질된 표면을 제거하기 위해 플라즈마를 점화하는 단계를 포함한다.
다양한 실시예들에서, 산소-함유 가스는 산소, 오존, 수증기, 아산화질소, 일산화탄소, 포름산 증기 및/또는 이산화탄소 중 임의의 하나 이상을 함유하는 가스일 수도 있다. 불활성 가스는 헬륨, 질소, 아르곤, 및 이들의 조합들 중 임의의 하나일 수도 있다.
다양한 실시예들에서, 탄소-함유 피처들은 스핀-온 탄소, 포토레지스트, 및 비정질 탄소 중 임의의 하나를 포함한다.
챔버는 약 1 Torr 내지 약 10 Torr의 챔버 압력으로 설정될 수도 있다. 일부 실시예들에서, 플라즈마는 약 50 W 내지 250 W의 플라즈마 전력을 사용하여 점화된다.
방법은 또한 플라즈마를 사용하지 않고 탄소-함유 피처들의 표면을 산소-함유 가스에 노출하는 단계와 탄소-함유 피처들의 표면을 불활성 가스에 노출하고 플라즈마를 점화하는 단계 사이에 챔버를 퍼지하는 단계를 포함할 수도 있다. 챔버는 약 0.1 초 내지 약 0.5 초의 지속기간 동안 퍼지될 수도 있다.
일부 실시예들에서, ALE는 또한 (i) 및 (ii) 를 사이클들로 반복하는 단계를 포함한다. 예를 들어, 일부 실시예들에서, 약 5 사이클들 내지 약 100 사이클들이 수행된다.
방법은 또한 트리밍된 탄소-함유 피처들을 형성한 후, (c) 진공을 파괴하지 않고 ALD에 의해 트리밍된 탄소-함유 피처들 위에 막을 컨포멀하게 (conformally) 증착하는 단계를 포함할 수도 있다. 컨포멀하게 증착된 막은 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 및 금속 옥사이드들 중 임의의 하나 이상과 같은 재료를 포함할 수도 있다. 일부 실시예들에서, 트리밍 및 컨포멀 막 증착은 동일 챔버에서 수행된다.
일부 실시예들에서, 방법은 또한 기판을 제공한 후 그리고 탄소-함유 피처들을 트리밍하기 전에, 약 35 ℃ 내지 약 100 ℃의 온도로 반도체 기판을 가열하는 단계를 포함한다.
다양한 실시예들에서, 챔버로 제공된 반도체 기판 상의 탄소-함유 피처들의 패턴의 피처들의 종횡비들은 약 6:1 내지 약 10:1이다.
다양한 실시예들에서, 탄소-함유 피처들의 표면을 산소-함유 가스에 노출하는 단계는 헬륨, 질소, 아르곤, 및 이들의 조합들 중 임의의 하나 이상과 같은 캐리어 가스를 도입하는 것을 더 포함한다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치에 있어서, 장치는: (a) 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 페데스탈을 포함하는, 하나 이상의 프로세스 챔버들; (b) 진공으로 커플링하기 위한 하나 이상의 유출구들; (c) 하나 이상의 산소-함유 가스 소스들 및 연관된 산소-함유 가스 플로우 제어 하드웨어에 커플링된 하나 이상의 가스 유입구들; (d) 하나 이상의 불활성 가스 소스들 및 연관된 불활성 가스 플로우 제어 하드웨어에 커플링된 하나 이상의 가스 유입구들; (e) 플라즈마 생성기; 및 (f) 장치에서 동작들을 제어하기 위한 제어기를 포함하고, 제어기는 적어도 하나의 프로세서와 메모리가 서로 통신가능하게 연결되도록, 적어도 하나의 프로세서 및 메모리를 포함하고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작가능하게 연결되고, 그리고 메모리는 (i) 및 (ii) 가 진공을 파괴하지 않고 수행되도록, (i) 산소-함유 가스를 제 1 프로세스 챔버로 도입하는 동작; 및 (ii) 불활성 가스를 도입하고 플라즈마를 점화하는 동작을 위한 컴퓨터 실행가능 인스트럭션들을 저장한다.
일부 실시예들에서, 장치는, 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 가스 유입구들 및 실리콘-함유 전구체 가스들과 반응하기 위한, 산소 함유 반응물질들에 커플링된 하나 이상의 가스 유입구들을 더 포함하고, 그리고 메모리는, (i) 내지 (iv) 가 진공을 파괴하지 않고 수행되도록, (iii) (i) 및 (ii) 동작들을 n 사이클들 동안 반복하는 동작으로서, n은 5 이상 100 이하의 정수인, n 사이클들 동안 반복하는 동작; 및 (iv) (iii) 를 반복한 후, 진공을 파괴하지 않고, ALD에 의해 실리콘 옥사이드 막을 증착하도록, 실리콘-함유 전구체 가스 및 산소-함유 반응물질의 교번하는 펄스들을 도입하는 동작을 위한 컴퓨터-실행가능 인스트럭션들을 더 저장한다.
다양한 실시예들에서, 장치는 27 및/또는 13 ㎒ CCP들; 및/또는 ICP들 예를 들어, 리모트 플라즈마들을 포함하여 대안적인 에너제틱 (energetic) 소스들을 포함할 수도 있다.
일부 실시예들에서, 장치는 또한 OES (optical emission spectroscopy) 센서를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1i는 쿼드 (quad) 패터닝 스킴의 예의 기판들의 개략적인 예시들이다.
도 2는 ALE의 예의 개략적인 예시이다.
도 3은 특정한 개시된 실시예들에 따라 수행된 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 4a 내지 도 4e는 특정한 개시된 실시예들에 따라 수행된 쿼드 패터닝 스킴의 예의 기판들의 개략적인 예시들이다.
도 5는 특정한 개시된 실시예들에 따라 수행된 동작들의 예를 도시하는 타이밍 개략도이다.
도 6은 특정한 개시된 실시예들에 따른 또는 특정한 개시된 실시예들을 수행하기 적합한 예시적인 프로세스 챔버의 개략도이다.
도 7은 특정한 개시된 실시예들에 따른 또는 특정한 개시된 실시예들을 수행하기 적합한 예시적인 프로세스 툴의 개략도이다.
도 8은 특정한 실시예들에 따른 또는 특정한 실시예들에 따른 프로세스들을 수행하기 적합한 프로세싱 시스템의 블록도이다.
도 9는 특정한 실시예들에 따른 또는 특정한 실시예들에 따른 프로세스들을 수행하기 적합한 대안적인 프로세싱 시스템의 블록도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
많은 반도체 제조 프로세스들에서 패터닝 방법들이 사용된다. 특히, 다중 패터닝이 광학적 한계들을 넘어 리소그래픽 기술들을 확장하도록 사용되었다. 더블 패터닝 및 쿼드 패터닝이 광학적 한계들을 넘어 리소그래픽 기술을 확장하도록 사용된 예시적인 기술들이고 더블 패터닝은 약 80 ㎚ 미만의 피치들에 대해 산업계에서 현재 광범위하게 사용된다. 현재 더블 패터닝 기법들은 코어 패턴을 형성하도록 코어 재료들의 패터닝을 포함한다. 트림은 코어 패턴들의 CD를 감소시키기 위한 프로세스이다. 트림 프로세스들은 종종 패턴을 리소그래피로 형성한 후 CD를 감소시키도록 사용되고 광학적 한계들을 넘어 리소그래픽 기술을 확장하도록 사용될 수도 있다. 예를 들어, 트림 프로세스들은 기판 위의 피처들의 CD를 감소시킨다.
코어 재료들은 이로 제한되는 것은 아니지만, 포토레지스트, 스핀 온 탄소, 및 비정질 탄소를 포함한다. 트림은 상이한 플라즈마 분위기들에서 이루어질 수 있는, 탄소-함유 코어 재료를 에칭하는 것을 수반한다. 포토레지스트 트림을 위한 종래의 기법들은 포토레지스트 프로파일을 수정하기 위해 포토레지스트를 에칭하도록 비-산화 플라즈마를 사용하여, 그리고 산화 플라즈마를 사용하여, 거칠기 (roughness) (예를 들어, 라인 에지 거칠기) 를 감소시키기 위해 포토레지스트를 경화하는 것을 수반한다. 산화 플라즈마는 다른 종들 보다도, 이온들 및 라디칼들을 포함하고, 산소-함유 가스, 예컨대 산소, 오존, 수증기, 아산화질소, 일산화탄소, 포름산 증기, 이산화탄소, 또는 아르곤, 헬륨, 또는 질소와 같은 희석 가스와 이들 중 임의의 2 이상의 혼합물을 포함하는 것을 도입함으로써 생성될 수 있다. 일부 종래 기법들은 또한 포토레지스트를 에칭하기 위해 염소 또는 브롬-함유 플라즈마를 사용하는 것을 포함한다. 그러나, 종래의 기법들은 이온 유도된 대미지로 인해 플라즈마 에칭 프로세스 동안 등방성 대미지를 유발한다. 이 현상은 "푸팅 (footing)"으로서 지칭된다. 예가 도 1a 내지 도 1i에 도시된 기판들의 시퀀스로 제공된다.
도 1a는 제 2 코어 (103), 제 3 코어 (105), 및 타깃층 (107) 상에 리소그래피로 형성되거나 패터닝된 제 1 코어 (101) 를 갖는 기판 (100) 을 도시한다. 당업자는 본 명세서에 기술된 바와 같이 반도체 프로세싱에 적합한 멀티-층 스택이 또한 다른 층들, 예컨대 에칭 정지층들, 캡층들, 배리어 층들, 및 다른 하부 층들을 포함할 수도 있다는 것을 인식할 것이다.
패터닝된 제 1 코어 (101) 는 탄소-함유 또는 실리콘-함유 재료일 수도 있다. 일부 실시예들에서, 패터닝된 제 1 코어 (101) 는 포토레지스트이다. 패터닝된 제 1 코어 (101) 는 리소그래피로 형성되고 제 2 코어 (103) 를 에칭하도록 사용된다. 제 2 코어 (103) 는 임의의 적합한 증착 기법, 예컨대 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착될 수도 있고, 증착 기법은 증착 챔버 내에서 하이드로카본 전구체를 포함하는 전구체 가스들로부터 플라즈마를 생성하는 것을 수반할 수도 있다. 하이드로카본 전구체는 화학식 C x H y 에 의해 규정될 수도 있고, 여기서 x 는 2 내지 10의 정수이고, 그리고 y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. HF (high frequency) 전력 및 LF (low frequency) 전력을 포함하는 듀얼 RF (radio frequency) 플라즈마 소스가 사용될 수도 있다.
제 2 코어 (103) 아래는, 또한 PECVD에 의해 증착된 탄소-함유 재료일 수도 있는, 제 3 코어 (105) 이다.
제 3 코어 (105) 아래는 타깃층 (107) 이다. 타깃층 (107) 은 궁극적으로 패터닝될 층일 수도 있다. 타깃층 (107) 은 반도체, 유전체, 또는 다른 층일 수도 있고 예를 들어 실리콘 (Si), 실리콘 옥사이드 (SiO2), 실리콘 나이트라이드 (SiN), 또는 티타늄 나이트라이드 (TiN) 로 이루어질 수도 있다. 타깃층 (107) 은 ALD (atomic layer deposition), PEALD, CVD (chemical vapor deposition), 또는 다른 적합한 증착 기법에 의해 증착될 수도 있다.
도 1b에서, 제 2 코어 (103) 는 패터닝된 제 2 코어 (113) 를 형성하기 위해 마스크로서 패터닝된 제 1 코어 (101) 를 사용하여 에칭되고, 패터닝된 제 1 코어 (101) 는 제거된다. 제 1 컨포멀 막 (109) 은 패터닝된 제 2 코어 (113) 위에 증착된다. 일부 실시예들에서 제 1 컨포멀 막 (109) 은 ALD 또는 PEALD에 의해 증착될 수도 있다. 제 1 컨포멀 막 (109) 은 실리콘 옥사이드 (SiO2) 또는 티타늄 옥사이드 (TiO2) 와 같은 옥사이드일 수도 있고, 또는 실리콘 나이트라이드 (SiN) 와 같은 나이트라이드일 수도 있다.
도 1c에 도시된 바와 같이, 패터닝된 제 2 코어 (113) 는 제 1 스페이서 (119) 를 형성하기 위해 제 1 컨포멀 막 (109) 을 에칭함으로써 노출된다. 제 1 스페이서 (119) 의 패턴은 후속하는 층들을 패터닝하도록 사용된다. 본 명세서에 사용된 바와 같이, 용어 "스페이서"는 코어 재료에 인접한 마스크 재료를 의미한다는 것이 이해될 것이다.
도 1d에서, 패터닝된 제 2 코어 (113) 는 기판 (100) 위에 단독으로 서있는 제 1 스페이서들 (119) 을 남기면서 선택적으로 에칭된다. 본 명세서에 사용된 바와 같은 선택적인 제거 또는 선택적인 에칭은 일 재료를 또 다른 재료에 대해 선택적으로 에칭할 때 규정된다. 예를 들어, 도 1d에서, 패터닝된 제 2 코어 (113) 는 제 1 스페이서들 (119) 에 선택적으로 그리고 제 3 코어 (105) 에 선택적으로 에칭된다. 일부 실시예들에서, 제 1 재료가 제 2 재료에 대해 선택적으로 에칭되면, 미리 결정된 지속기간 동안, 제 1 재료가 제 2 재료보다 많이 에칭되도록 제 1 재료의 에칭 레이트는 제 2 재료의 에칭 레이트보다 고속이라는 것이 이해될 것이다.
제 1 스페이서들 (119) 의 패턴은 일부 실시예들에서 약 30 ㎚ 내지 약 50 ㎚의 피치를 가질 수도 있다. CD는 매우 크기 때문에, 이들 보다 큰 CD들에서 피치 워킹 (pitch walking) 리스크는 거의 없다.
도 1e에서, 제 3 코어 (105) 는 마스크로서 제 1 스페이서들 (119) 을 사용하여 에칭되어, 패터닝된 제 3 코어 (115) 를 형성하도록 제 3 코어 (105) 로 패턴이 전사된다. 패터닝된 제 3 코어 (115) 는 제 1 스페이서들 (119) 이 아니라 제 3 코어 (105) 를 에칭하기 적합한 화학물질을 사용하여 에칭될 수도 있다. 패터닝된 제 3 코어 (115) 는 비정질 탄소 층, 비정질 실리콘 층, 또는 포토레지스트, 예컨대 폴리(메틸 메타크릴레이트) 또는 폴리(메틸 글루타이미드) (PMGI) 또는 페놀 포름알데하이드 수지일 수도 있다.
도 1f에서, 패터닝된 제 3 코어 (115) 의 CD는 종래의 기법들을 사용하여 코어 재료를 트리밍함으로써 감소된다. 패터닝된 제 3 코어 (115) 는 산소-함유 가스를 점화하고 바이어스를 인가함으로써 등방성 플라즈마 에칭에 노출되고, 이는 테이퍼된 트리밍된 제 3 코어 (135) 를 발생시킨다. 일부 실시예들에서, 바이어스가 인가되지 않는다. 아웃라인 (125) 은 트리밍된 제 3 코어 (135) 와 비교하여 패터닝된 제 3 코어 (115) 의 프로파일을 도시한다. 그러나, 제 3 코어 (115) 의 트리밍이 등방성 플라즈마 에칭 프로세스를 사용하여 통상적으로 수행되기 때문에, 예시적인 화살표들 (180) 로 도시된 바와 같이 패터닝된 제 3 코어 (115) 와 타깃층 (107) 이 만나는, 코너부들을 에칭하는 것이 어렵고, 이에 따라 트리밍된 제 3 코어 (135) 의 측벽들이 기울어지도록 도 1f에 도시된 바와 같은 테이퍼된 푸팅 효과를 형성한다. 이는 90°보다 큰 각도로 타깃층 (107) 의 표면과 만나는 측벽들을 발생시킨다. 트리밍된 제 3 코어 (135) 의 측벽들이 타깃층 (107) 의 표면과 만나는 각도가 약 90°이도록 측벽들이 실질적으로 수직이 되는 것이 바람직하다.
트리밍 프로세스는 트리밍된 제 3 코어 (135) 의 프로파일에 영향을 주고, 이는 도 1g 내지 도 1i에 대해 이하에 더 기술된 바와 같이, 후속하는 프로세싱 프로파일에 영향을 준다.
도 1g에서, 제 2 컨포멀 막 (120) 이 트리밍된 제 3 코어 (135) 위에 증착된다. 트리밍된 제 3 코어 (135) 가 기울어진 측벽들을 갖기 때문에, 제 2 컨포멀 막 (120) 은 또한 트리밍된 제 3 코어 (135) 의 측벽들을 따라 기울어진다. 제 2 컨포멀 막 (120) 은 ALD 또는 PEALD에 의해 증착된 유전체 재료일 수도 있다. 예를 들어, 일부 실시예들에서, 제 2 컨포멀 막 (120) 은 실리콘 옥사이드일 수도 있다. 일부 실시예들에서, 제 2 컨포멀 막 (120) 은 제 1 컨포멀 막 (109) 의 조성과 동일하거나 유사한 조성을 가질 수도 있다. 종래의 기법들에서, 도 1f에 대해 상기 기술된 바와 같이 트리밍 프로세스는 에칭 툴 또는 컨포멀 막 (120) 의 증착과 별도의 챔버에서 수행되고, 이는 쓰루풋 및 효율을 감소시킬 수 있다는 것을 주의한다.
도 1h에서, 제 2 컨포멀 막 (120) 은 트리밍된 제 3 코어 (135) 를 플랭크하는 (flanking) 제 2 스페이서들 (121) 을 형성하도록 지향성으로 에칭된다. 제 2 스페이서들 (121) 의 측벽들은 트리밍된 제 3 코어 (135) 의 기울어진 측벽들로 인해 기울어진다.
도 1i에서, 트리밍된 제 3 코어 (135) 는 타깃층 (107) 을 에칭하여 패터닝된 타깃층 (127) 을 발생시키기 위해 후속하여 사용되는 독립적으로 서 있는 제 2 스페이서들을 남기도록 선택적으로 제거된다. 일부 실시예들에서, 트리밍된 제 3 코어 (135) 의 기울어진 측벽들로 인해, 기판 (100) 위에 남아 있는 일부 잔류 제 3 코어 재료 (145) 도 있을 수도 있다. 트리밍된 제 3 코어 (135) 는 도 1d에서 패터닝된 제 2 코어 (113) 를 선택적으로 에칭할 때와 동일하거나 유사한 화학물질을 사용하여 선택적으로 제거될 수도 있다. 도 1i에 도시된 바와 같이, 이전 코어 트리밍 프로세스들로부터 테이퍼된 푸팅으로 인해, 트리밍된 제 3 코어 (135) 의 푸팅으로 인해 측벽들이 수직으로 에칭되지 않을 수도 있도록 발생되는 타깃층 (127) 의 패턴은 일관되지 않다. 스페이서들이 수직 측벽들을 갖도록 제 2 컨포멀 막 (120) 을 증착하기 전에 스페이서들을 형성하는 것이 바람직하다.
패터닝 문제들을 유발하는 푸팅에 더하여, 기판에 걸친 피치가 실질적으로 가변하도록 피치 워킹이 또한 관찰된다: 예를 들어 도 1i에 도시된 바와 같이, 피치는 또한 α, β, 및 γ로 도시된 화살표들에 대해 도시된 바와 같이 일관되지 않을 수도 있다. 종래의 기법들은 플라즈마로부터 생성된 에칭 종의 지향성으로 인해 푸팅을 감소시기 위해 코너부들에서 에칭하기 불충분하고, 이에 따라 코어 재료가 타깃층의 표면과 만나는 코너부들에서 에칭되지 않은 채로 남아 있는 코어 재료를 발생시킨다.
패터닝 적용들에서 사용하기 위해 에칭된 탄소-함유 재료들 상에서 실질적으로 수직인 측벽들을 달성하기 위해, ALE 기법들에 의해 탄소-함유 재료들을 에칭하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 탄소-함유 재료의 표면을 개질하기 위해 플라즈마를 사용하지 않고, 탄소-함유 재료를 산소-함유 가스에 노출함으로써 탄소-함유 재료들을 트리밍하는 단계 및 불활성 가스에 개질된 표면을 노출하는 단계 및 층 단위 (layer-by-layer) 에칭 프로세스에서 개질된 표면을 제거하도록 플라즈마를 점화하는 단계를 수반한다. ALE의 층 단위 특성은 푸팅이 발생하지 않고 코어 재료의 측벽들이 약 90°±5°인 지점에서 에칭될 하부 층의 평면형 표면과 만나도록 컨포멀한 에칭을 가능하게 한다. 본 명세서에 기술된 바와 같은 수직 측벽들은 코어 재료의 측벽들이 약 90°±5°인 지점에서 에칭될 하부 층의 평면형 표면과 만난다는 것을 의미한다. 방법들은 다중 패터닝 기법들, 예컨대 더블 패터닝 및 쿼드 패터닝에 적합하다. 방법들은 리소그래피로 형성된 탄소-함유 재료의 CD를 임의의 목표된 양만큼 감소시키기 적합하다. 예를 들어, 일부 실시예들에서, 탄소-함유 피처들의 CD는 특정한 개시된 실시예들을 사용하여 약 600 Å로부터 약 500 Å로 감소될 수도 있다. 방법들은 ALE를 사용한 에칭을 수반한다.
ALE는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 제거하는 기법이다. 일반적으로, ALE는 임의의 적합한 기법을 사용하여 수행될 수도 있다. ALE 기법들의 예들은 예시적인 ALE 및 에칭 기법들을 기술할 목적들을 위해 참조로서 본 명세서에 인용된, 2014년 11월 11일 허여된 미국 특허 제 8,883,028 호; 및 2014년 8월 19일 허여된 미국 특허 제 8,808,561 호에 기술된다. ALD 기법들과 통합되는 ALE 기법들의 예들은 본 명세서에 참조로서 인용된 2017년 2월 21일 허여된 미국 특허 제 9,576,811 호에 기술된다. 다양한 실시예들에서, ALE는 플라즈마를 사용하여 수행될 수도 있고, 또는 열적으로 수행될 수도 있다.
ALE는 사이클들로 수행될 수도 있다. "ALE 사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 ALE 사이클은 모노레이어를 에칭하는 것과 같이 에칭 프로세스를 1회 수행하도록 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상의 막층의 적어도 일부가 에칭된다는 것이다. 통상적으로, ALE 사이클은 반응 층을 형성하기 위한 개질 동작, 이어서 이 개질된 층만을 제거 또는 에칭하기 위한 제거 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 일 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, ALE 사이클은 다음의 동작들: (i) 반응물질 가스의 전달, (ii) 챔버로부터 반응물질 가스의 퍼지, (iii) 제거 가스 및 선택가능한 플라즈마의 전달, 및 (iv) 챔버의 퍼지를 포함할 수도 있다. 일부 실시예들에서, 에칭은 컨포멀하지 않게 (nonconformally) 수행될 수도 있다. 도 2는 ALE 사이클의 2 개의 예시적인 개략적인 예시들을 도시한다. 도면들 271a 내지 271e는 일반적인 ALE 사이클을 도시한다. 271a에서, 기판이 제공된다. 271b에서, 기판의 표면이 개질된다. 271c에서, 다음 단계가 준비된다. 271d에서, 개질된 층이 에칭된다. 271e에서, 개질된 층이 제거된다. 유사하게, 도면들 272a 내지 272e는 탄소-함유 막을 에칭하기 위한 ALE 사이클의 예를 도시한다. 272a에서, 많은 탄소 원자들을 포함하는, 탄소-함유 기판이 제공된다. 272b에서, 반응물질 가스 산소가 기판으로 도입되고 기판의 표면을 개질한다. 272b의 개략도는 예로서 일부 산소가 기판의 표면 상에 흡착되는 것을 도시한다. 산소가 도 2에 도시되지만, 임의의 산소-함유 화합물 또는 적합한 반응물질이 사용될 수도 있다. 272c에서, 반응물질 가스 산소는 챔버로부터 퍼지된다. 272d에서, Ar+ 플라즈마 종 및 화살표들로 나타낸 바와 같이, 제거 가스 아르곤이 지향성 플라즈마와 함께 도입되고, 기판의 개질된 표면을 제거하기 위해 이온 충돌이 수행된다. 아르곤이 도 2에 도시되지만, 다른 제거 가스들, 예컨대 헬륨, 질소, 아르곤 및 이들의 조합들이 사용될 수도 있다는 것이 이해될 것이다. 제거 동안, 기판을 향해 이온들을 끌어 당기기 위해 (attract) 바이어스가 기판에 인가된다. 272e에서, 챔버는 퍼지되고 부산물들이 제거된다.
일 사이클은 약 0.1 ㎚ 내지 약 50 ㎚의 재료, 또는 약 0.1 ㎚ 내지 약 5 ㎚의 재료, 또는 약 0.2 ㎚ 내지 약 50 ㎚의 재료, 또는 약 0.2 ㎚ 내지 약 5 ㎚의 재료를 부분적으로만 에칭할 수도 있다. 일 사이클에 에칭된 재료의 양은 에칭 목적에 종속할 수도 있고; 예를 들어, 에칭된 재료의 양은 패턴을 형성하기 위해 탄소-함유 재료를 에칭한 후 패터닝된 탄소-함유 재료를 사용하여 에칭될 층의 목표된 CD에 종속된다.
도 3은 특정한 개시된 실시예들에 따른, 방법의 동작들을 수행하기 위한 프로세스 흐름도를 도시한다. 도 3의 동작들은 약 1 mTorr 내지 약 100 Torr, 예컨대 약 4 Torr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 2 Torr의 챔버 압력에서 수행될 수도 있다. 동작 301에서, 기판 또는 웨이퍼가 프로세싱 챔버로 제공된다. 챔버는 멀티-챔버 장치 또는 단일-챔버 장치의 챔버일 수도 있다. 기판은 상부에 증착된 유전체, 도전성, 또는 반도전성 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하여, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 기판은 기판을 홀딩하기 위한 페데스탈 상에 놓일 수도 있다. 페데스탈은 약 35 ℃ 내지 약 100 ℃의 온도로 설정될 수도 있다. 이 온도는 본 명세서에서 기판 온도로 지칭될 수도 있지만, 기판 온도는 기판을 홀딩하는 페데스탈이 설정되는 온도라는 것이 이해될 것이다.
일부 실시예들에서, 기판은 탄소-함유 재료, 예컨대 스핀-온 탄소, 비정질 탄소, 또는 포토레지스트를 포함한다. 기판은 기판 상에 이전에 증착되고 패터닝된 패터닝된 마스크층을 포함할 수도 있다.
다양한 실시예들에서, 기판 상의 층들이 패터닝된다. 기판들은 리소그래피로 형성될 수도 있고 또는 패터닝되거나 하나 이상의 이전 에칭 프로세스들로부터 패터닝된 코어 재료로서 에칭될 수도 있는 "피처들"을 포함한다. 리소그래피로 형성된은 193 ㎚ 리소그래피와 같은 포토리소그래피에 의해 패터닝된 것을 의미하고, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 광감성 포토레지스트 상에 인쇄함으로써 인쇄되고, 이에 따라 패턴을 형성하기 위해 포토레지스트의 특정한 부분들을 제거하는 포토레지스트의 화학 반응을 유발한다. 다양한 실시예들에서, 챔버로 제공된 기판은 탄소-함유 피처들의 리소그래피로 형성된 패턴을 포함한다. 본 명세서에 사용된 바와 같은 피처들은 패터닝된 탄소-함유 재료의 포지티브 피처들을 지칭한다. 피치는 피처들 간 중심-대-중심 거리이다. 피처들은 기판 표면 상에서 이격되고, 피처들 간의 공간들은 "트렌치들" 또는 "홀들"로 참조된다. 다양한 실시예들에서, 기판 아래 탄소-함유 피처들은 배리어층 또는 접착층과 같은 하부층을 포함할 수도 있다. 하부층들의 비제한적인 예들은 유전체들 및 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속층들을 포함한다.
일부 실시예들에서, 피처들은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 적어도 약 30:1, 이상의 종횡비들을 갖는다. 일부 실시예들에서, 피처들은 약 6:1 내지 약 10:1의 종횡비들을 갖는다. 개시된 방법들은 피처들을 갖는 기판들 상에서 수행될 수도 있고, 피처들 간의 트렌치들 또는 홀들의 개구부들의 폭들은 약 150 ㎚ 미만이다.
도 3의 동작 304에서, 기판은 기판 상의 탄소-함유 재료의 표면을 개질하기 위해 플라즈마를 점화하지 않고 산소-함유 가스에 노출된다. 개질 동작은 후속하는 제거 동작에서 개질되지 않은 재료보다 쉽게 제거되는 두께를 갖는 얇은, 반응성 표면 층을 형성한다. 개질 동작에서, 기판 상의 탄소-함유 재료는 챔버 내로 산소-함유 가스를 도입함으로써 개질될 수도 있다. 산소가 개시된 실시예들에서 예시적인 에천트 종으로서 사용되지만, 일부 실시예들에서, 아산화질소와 같은 상이한 에칭 가스가 챔버 내로 도입된다는 것이 이해될 것이다. 일부 실시예들에서, 산소는 탄소-함유 재료와 반응하지 않고 기판의 표면 상에 흡착될 수도 있다. 다양한 실시예들에서, 산소는 가스 형태로 챔버 내로 도입되고 선택가능하게 헬륨, 질소, 아르곤, 및 이들의 조합들 중 임의의 가스일 수도 있는 캐리어 가스와 동반될 수도 있다. 일부 실시예들에서, 산소는 질소와 함께 프로세스 챔버로 도입된다. 동작 304는 산소-함유 가스를 사용하여 기판 표면의 완전한 포화를 획득하기 충분한 지속기간 동안 수행될 수도 있다. 일부 실시예들에서, 지속기간은 약 0.1 초일 수도 있다. 일부 실시예들에서, 지속기간은 약 0.1 초 내지 약 5 초, 예컨대 약 0.5 초, 또는 약 1 초일 수도 있다.
동작 306에서, 퍼지가 수행될 수도 있다. 퍼지 동작에서, 비-표면-결합 활성 산소 종이 프로세스 챔버로부터 제거될 수도 있다. 이는 흡착된 층을 제거하지 않고, 활성 종을 제거하기 위해 프로세스 챔버를 퍼지 및/또는 배기함으로써 이루어질 수 있다. 퍼지는 N2, Ar, Ne, He 및 이들의 조합들과 같은 임의의 불활성 가스를 사용하여 이루어질 수 있다. 일부 실시예들에서, "버스트" 퍼지가 사용될 수도 있고, 퍼지의 지속기간은 약 0.1 초 내지 약 0.5 초이다.
동작 308에서, 기판이 불활성 가스에 노출되고 개질된 표면을 제거하도록 플라즈마가 점화된다. 제거 동작에서, 기판은 지향성 스퍼터링에 의해 기판을 에칭하기 위해, 아르곤 또는 헬륨과 같은, 에너지 소스 (예를 들어 제거를 유도하는 활성화 또는 스퍼터링 가스 또는 화학적으로 반응성 종) 에 노출될 수도 있다. 일부 실시예들에서, 제거 동작은 이온 충돌에 의해 수행될 수도 있다. 일부 실시예들에서, 목표된 프로파일을 달성하기 위해 에칭의 지향성을 변경하기 위해 바이어스를 인가하기 적합할 수도 있다. 그러나, 본 명세서에 기술된 대부분의 실시예들에서, 컨포멀한 에칭이 바이어스를 인가하지 않고 달성될 수도 있다는 것을 주의한다.
스퍼터링 가스의 양은 목표된 양의 재료만을 에칭하도록 제어될 수도 있다. 다양한 실시예들에서, 챔버의 압력은 개질 동작과 제거 동작 사이에 가변할 수도 있다. 가스의 압력은 챔버의 사이즈, 가스의 플로우 레이트, 반응기의 온도, 기판의 타입, 및 에칭될 기판의 사이즈에 종속될 수도 있다.
플라즈마는 사이클 각각에서 에칭된 재료의 양을 제어하는 동안 기판 표면 상에서 재료의 스퍼터링을 감소시키도록 선택된 플라즈마 전력에서 점화된다. (4 개의 기판들을 동시에 프로세싱하기 위해서와 같이) 4-스테이션 챔버에 대해, 플라즈마 전력은 약 250 W 내지 약 750 W일 수도 있다. 일부 실시예들에서, 단일 기판 스테이션에 대한 플라즈마 전력은 약 50 W 내지 약 250 W일 수도 있다. 플라즈마의 사용이 일반적으로 스퍼터링을 다소 유발할 수도 있지만, 스퍼터링은 일반적으로 사이클 당 에칭된 재료의 양에 대해 정밀-튜닝된 제어를 획득하기 위해 따라서 수직 측벽들을 획득하기 위해 탄소-함유 재료를 패터닝하도록 저 플라즈마 전력으로 고압에서 개시된 실시예들을 수행함으로써 제어된다. 예를 들어, 일부 실시예들에서, 챔버 압력은 약 50 W 내지 약 250 W의 기판 스테이션 당 플라즈마 전력으로 약 2 Torr일 수도 있다. 일부 실시예들에서, 플라즈마는 약 5 초 미만, 예컨대 약 1 초 내지 약 5 초의 지속기간 동안 점화될 수도 있다.
동작 310에서, 챔버는 제거 동작 후에 퍼지될 수도 있다. 퍼지 프로세스들은 개질 동작 후에 퍼지를 위해 사용된 프로세스들 중 임의의 프로세스일 수도 있다. 일부 실시예들에서, "버스트" 퍼지가 동작 306에 대해 상기 기술된 바와 같이 수행된다.
동작 399에서, 기판이 충분히 에칭되었는지 여부가 결정된다. 그렇지 않다면, 동작들 304 내지 310이 선택가능하게 반복될 수도 있다. 동작들 304 내지 310을 수행하는 것은 일 ALE 사이클을 구성할 수도 있다. 다양한 실시예들에서, 에칭은 사이클들로 수행될 수도 있다. 사이클들의 수는 특정한 애플리케이션을 위해 목표된 양에 종속된다. 다양한 실시예들에서, 약 1 사이클 내지 약 100 사이클들이 사용될 수도 있다. 일부 실시예들에서, 약 5 사이클들 내지 약 100 사이클들이 사용될 수도 있다. 일부 실시예들에서 사이클들의 수는 약 1 내지 약 40 사이클들, 또는 약 1 내지 약 20 사이클들, 또는 약 30 내지 약 40 사이클들일 수도 있다. 임의의 적합한 수의 ALE 사이클들이 목표된 양의 막을 에칭하기 위해 포함될 수도 있다. 일부 실시예들에서, ALE는 기판 상의 층들의 표면의 약 1 Å 내지 약 50 Å을 에칭하기 위해 사이클들로 수행된다. 일부 실시예들에서, ALE 사이클들은 기판 상의 층들의 표면의 약 2 Å 내지 약 50 Å을 에칭한다. 일부 실시예들에서, 사이클들의 수는 에칭 양을 식별하고 엔드포인트에서 에칭이 정지되도록 엔드 포인트를 설정하기 위해 OES를 사용함으로써 선택될 수도 있다. 일부 실시예들에서, 사이클 시간 (단일 사이클의 지속기간) 은 1 초 미만일 수도 있다.
동작 350에서, 기판이 목표된 CD의 패턴을 형성하도록 충분히 에칭된 후에, 컨포멀한 막이 진공을 파괴하지 않고 ALD에 의해 선택가능하게 증착될 수도 있다. 일부 실시예들에서, 컨포멀한 막은 실리콘 옥사이드를 포함한다. 일부 실시예들에서, 컨포멀한 막은 실리콘 나이트라이드, 실리콘 카바이드, 금속 옥사이드들, 및 이들의 조합들을 포함한다. 즉, 일부 실시예들에서, 동작들 304 내지 350은 진공을 파괴하지 않고 수행될 수도 있다. 일부 실시예들에서, 동작들 304 내지 350은 동일한 챔버 내에서 수행된다. 일부 실시예들에서, 챔버는 2 이상의 프로세스 스테이션들을 포함하고, 그리고 ALE는 일 프로세스 스테이션에서 수행되는 한편, ALD는 또 다른 프로세스 스테이션에서 수행된다.
일부 실시예들에서, 동작 304은 동작 308 전에 수행된다는 것이 이해될 것이다. 그러나, 일부 실시예들에서, 동작 308은 동작 304 전에 수행될 수도 있다. 이러한 실시예들에서, 플라즈마는 산소-함유 가스의 도입으로 점화될 수도 있는 한편, 불활성 가스는 플라즈마를 사용하지 않고 도입된다. 예를 들어, 일 실시예들에서, 기판이 제공된 후, 기판은 플라즈마를 점화하지 않고 불활성 가스 플로우에 노출될 수도 있고, 챔버는 선택가능하게 퍼지될 수도 있고, 이어서 기판은 산소-함유 가스에 노출될 수도 있고 가스는 바이어스를 인가하지 않고 점화될 수도 있고, 이어서 챔버는 선택가능하게 다시 퍼지될 수도 있다. 불활성 가스 플로우 및 산소-함유 플라즈마로의 노출들이 목표된 두께의 탄소-함유 재료를 에칭하기 위해 필요에 따라 사이클들로 반복될 수도 있다.
또 다른 실시예에서, 기판이 제공된 후, 기판은 불활성 가스 플로우에 노출될 수도 있고 그리고 플라즈마는 점화될 수도 있고, 챔버가 선택가능하게 퍼지될 수도 있고, 이어서 기판이 플라즈마를 점화하지 않고, 산소-함유 가스에 노출될 수도 있고, 이어서 챔버는 선택가능하게 다시 퍼지될 수도 있다. 불활성 가스 플라즈마 및 산소-함유 가스로의 노출들이 목표된 두께의 탄소-함유 재료를 에칭하기 위해 필요에 따라 사이클들로 반복될 수도 있다. 바이어스는 ALE 동작들 내내 인가되지 않는다.
또 다른 실시예에서, 기판이 제공된 후, 기판은 리모트 플라즈마 챔버 내에서 생성된 산소-함유 플라즈마에 노출될 수도 있고, 챔버는 선택가능하게 퍼지될 수도 있고, 이어서 기판은 인시츄 플라즈마를 점화하는 동안 불활성 가스에 노출될 수도 있고, 이어서 챔버는 선택가능하게 퍼지될 수도 있다. 리모트로 생성된 산소-함유 플라즈마 및 인시츄 생성된 불활성 가스 플라즈마로의 노출들이 목표된 두께의 탄소-함유 재료를 에칭하기 위해 필요에 따라 사이클들로 반복될 수도 있다.
도 4a 내지 도 4c는 특정한 개시된 실시예들을 겪는 기판들의 개략적인 예시들을 도시한다. 도 4a는 기판 (400) 상에 패터닝된 제 3 코어 (415) 및 타깃층 (407) 을 갖고 도 1e에 대응한다. 도 4b에서, 패터닝된 제 3 코어 (415) 는 기판을 개질하기 위해 플라즈마를 사용하지 않고 산소-함유 가스 및 도 3에 대해 상기 기술된 바와 같이 개질된 표면을 제거하기 위해 바이어스를 사용하지 않고 불활성 가스 플라즈마의 교번하는 펄스들을 사용하여, 트리밍된 제 3 코어 (435) 를 형성하는 ALE에 의해 트리밍된다. ALE에 의한 층 단위 에칭으로 인해, 푸팅 효과가 없고 (480 참조) 트리밍된 제 3 코어 (435) 의 측벽들은 수직이고 타깃층 (407) 의 표면으로부터 약 90° 라는 것을 주의한다. 아웃라인 (425) 은 ALE 전에 패터닝된 제 3 코어 (415) 의 아웃라인을 도시한다.
도 4c에서, 컨포멀 막 (420) 이 트리밍된 제 3 코어 (435) 위에 증착된다. 이는 도 3의 동작 350에 대응할 수도 있고, 컨포멀 막이 ALD에 의해 증착되고, 이어서 진공을 파괴하지 않고 ALE에 의해 에칭된다. 일부 실시예들에서, 에칭 및 증착이 동일한 챔버에서 수행된다. 일부 실시예들에서, 에칭 및 증착은 증착 챔버에서 수행된다.
도 4d에서, 컨포멀 막 (420) 은 타깃층 (407) 을 노출하고 스페이서들 (421) 을 형성하기 위해 트리밍된 제 3 코어 (435) 의 상단부들 및 트렌치들의 하단부들로부터 막을 제거하도록 지향성으로 에칭된다. 도 4e에서, 트리밍된 제 3 코어 (435) 는 선택적으로 제거되고 스페이서들 (421) 은 패터닝된 타깃층 (427) 의 필라들 간의 간격이 일관되고 수직 측벽들과 대칭이도록, 패터닝된 타깃층 (427) 을 산출하기 위해 타깃층 (407) 을 에칭하기 위한 마스크로서 사용된다.
도 5는 특정한 개시된 실시예들에 따라 수행된 동작들의 예를 도시하는 타이밍 개략도이다. 도 5에 제공된 예들에서, 프로세스 (500) 는 2 개의 에칭 사이클들 512A 및 512B를 포함한다. 에칭 사이클 512A는 산소-함유 가스 노출 페이즈 504A, 퍼지 페이즈 506A, 플라즈마와 불활성 가스 노출 페이즈 508A, 및 퍼지 페이즈 510A를 포함한다.
도 3의 동작 304에 대응할 수도 있는, 산소-함유 가스 노출 페이즈 504A 동안, 퍼지 가스 플로우는 턴오프되고, 플라즈마는 턴오프되고, 이 예에서 불활성 가스 플로우는 턴오프되고, 그리고 산소-함유 가스 플로우는 턴온된다. 불활성 가스 플로우가 턴오프되는 것으로 도시되지만, 일부 실시예들에서 불활성 가스일 수도 있는, 캐리어 가스가 산소-함유 가스와 함께 흐를 수도 있다는 것을 주의한다. 일부 실시예들에서, 캐리어 가스는 산소-함유 가스의 전달 전에 프로세싱 챔버로 방향전환된다.
산소-함유 가스 노출 페이즈 504A에 이어서, 도 3의 동작 306에 대응할 수도 있는, 퍼지 페이즈 506A가 수행된다. 퍼지 페이즈 506A 동안, 산소-함유 가스 플로우 및 불활성 가스 플로우가 턴오프되고 플라즈마가 턴오프되는 동안 퍼지 가스가 흐른다. 퍼지 가스 및 불활성 가스가 도 3에 별도로 리스트되지만, 일부 실시예들에서, 동일한 가스가 플라즈마와 불활성 가스 노출 페이즈 508A에서 불활성 가스로서 사용되고 그리고 퍼지 가스로서 사용될 수도 있다는 것을 주의한다.
플라즈마와 불활성 가스 노출 페이즈 508A 동안, 불활성 가스 플로우가 턴온되고 플라즈마가 턴온되는 동안, 퍼지 가스 플로우뿐만 아니라 산소-함유 가스 플로우가 턴오프된다. 이 페이즈는 도 3의 동작 308에 대응할 수도 있다. 바이어스가 인가되는지 여부가 도 5에 도시되지 않지만, 일부 실시예들에서, 플라즈마와 불활성 가스 노출 페이즈 508A 동안 바이어스가 인가되지 않는다. 유사하게, 일부 실시예들에서, 바이어스는 산소-함유 가스 노출 페이즈 504A 동안 인가되지 않는다.
도 3의 동작 310에 대응할 수도 있는, 퍼지 페이즈 510A에서, 산소-함유 가스 플로우 및 불활성 가스 플로우들이 턴오프되고 플라즈마가 턴오프되는 동안 퍼지 가스가 흐른다.
특정한 실시예들에서, 때때로 펄스 트레인 타이밍으로 지칭되는, 에칭 사이클 512A의 페이즈들 504A (때때로 "도즈" 페이즈로 지칭될 수도 있음) / 506A (퍼지) / 508A (때때로 "플라즈마" 또는 "RF" 페이즈로 지칭될 수도 있음) / 510A (퍼지) 의 타이밍은 다음과 같은 범위일 수도 있다: 0.1 내지 1.0 초 / 0.1 내지 1.0 초 / 0.1 내지 1.0 초 / 0.1 내지 1.0 초. 예를 들어, 0.25 초 / 0.25 초 / 0.25 초 / 0.1 초의 펄스 트레인 타이밍이 사용될 수도 있다.
특정한 실시예들에서, 산소-함유 가스 노출 페이즈 504A 동안 샤워헤드 플로우는 약 5 내지 15 slm의 범위일 수도 있고; 플라즈마와 불활성 가스 노출 페이즈 508A 동안 샤워헤드 플로우는 (샤워헤드 위 불활성) 으로부터 약 5 내지 15 slm의 범위일 수도 있고; 퍼지 페이즈들 506A 및 510A 동안 퍼지 플로우는 약 20 내지 40 slm의 범위일 수도 있다.
에칭 사이클 512A는 산소-함유 가스 노출 페이즈 504B, 퍼지 페이즈 506B, 플라즈마와 불활성 가스 노출 페이즈 508B, 및 퍼지 페이즈 510B를 포함하는 에칭 사이클 512B로 도시된 바와 같이 반복될 수도 있다. 산소-함유 가스 노출 페이즈 504B 동안, 산소-함유 가스 플로우가 턴온되고 플라즈마가 턴오프되는 동안 퍼지 가스 플로우 및 불활성 가스 플로우들은 턴오프된다. 퍼지 페이즈 506B 동안, 산소-함유 가스 플로우 및 불활성 가스 플로우들이 턴오프되고 플라즈마가 또한 턴오프되는 동안 퍼지 가스 플로우는 턴온된다. 플라즈마와 불활성 가스 노출 페이즈 508B 동안, 불활성 가스 플로우가 턴온되고 플라즈마가 또한 턴온되는 동안 퍼지 가스 플로우 및 산소-함유 가스 플로우들은 턴오프된다. 퍼지 페이즈 510B 동안, 산소-함유 가스 플로우 및 불활성 가스 플로우들이 턴오프되고, 플라즈마가 턴오프되는 동안, 퍼지 가스 플로우가 턴온된다.
2 개의 에칭 사이클들이 도 5에 도시되지만, 부가적인 에칭 사이클들이 또한 수행될 수도 있다는 것이 이해될 것이다. 또한, 증착 페이즈과 도시되지 않지만, 일부 실시예들에서 ALE의 몇몇 에칭 사이클들 후에, 컨포멀 막이 ALD에 의해 에칭 프로세스로부터 형성된 패터닝된 기판 위에 증착된다.
장치
도 6은 프로세스 챔버 (602) 를 갖는 ALD 프로세스 스테이션 (600) 의 실시예의 개략적 예시를 도시한다. 프로세스 스테이션 (600) 은 특정한 개시된 실시예들을 수행하도록 사용될 수도 있다. 예를 들어, 프로세스 스테이션 (600) 이 통상적으로 기판 상에 막들을 증착하도록 사용될 수도 있지만, 프로세스 스테이션 (600) 은 특정한 개시된 실시예들에서 본 명세서의 다른 곳에 기술된 ALE에 의해 패터닝 스킴에서 탄소-함유 재료를 에칭하도록 사용될 수도 있다. 일부 실시예들에서, 프로세스 스테이션 (600) 은 ALE 및 ALD 둘다에 사용될 수도 있고, 또는 일부 실시예들에서, 멀티-스테이션 툴의 몇몇 프로세스 스테이션들은, 기판들이 진공을 파괴하지 않고 ALE 스테이션과 ALD 스테이션 사이에서 이송될 수도 있도록 ALE를 위한 스테이션 및 ALD를 위한 스테이션을 포함할 수도 있다.
프로세스 챔버 (602) 가 저압 분위기를 유지하기 위해 사용될 수도 있다. 복수의 프로세스 스테이션들은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 7은 멀티-스테이션 프로세싱 툴 (700) 의 실시예를 도시한다. 이에 더하여, 복수의 프로세스 툴들은 도 8 및 도 9를 참조하여 기술되고 도시된 바와 같이, 공통 저압 프로세스 클러스터 툴 분위기에 포함될 수도 있다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (601a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (601a) 은 샤워헤드 (606) 로의 전달을 위해 프로세스 가스들, 예컨대 산소-함유 가스, 또는 불활성 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (604) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (620) 이 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 6의 실시예는 혼합 용기 (604) 로 공급될 액체 반응물질을 기화하기 위한 기화 지점 (603) 을 포함한다. 일부 실시예들에서, 증착 화학물질은 기화된 액체 반응물질로서 제공될 수도 있다. 증착 화학물질은 컨포멀 막이 ALD에 의해 패터닝된 탄소-함유 재료 위에 증착될 수도 있도록 패터닝된 탄소-함유 재료를 형성하기 위해 프로세스 챔버 (602) 내에서 ALE를 수행하기 위해 사용될 수도 있다. 일부 실시예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 증발기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (603) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (604) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (603) 의 파이프 다운스트림은 혼합 용기 (604) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기 (미도시) 에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기 (604) 의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (603) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 챔버 (602) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
다른 실시예들에서, 일부 또는 모든 프로세스 가스들은 가스 상으로 챔버 장치에 제공될 수도 있고, 따라서 기화할 필요가 없다.
샤워헤드 (606) 는 프로세스 가스들을 기판 (612) 을 향해 분배한다. 도 6에 도시된 실시예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고 척 또는 페데스탈 (608) 상에 놓인 것으로 도시된다. 일부 실시예들에서, 챔버는 복수의 척들 또는 페데스탈들을 포함할 수도 있다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다. 예를 들어: 샤워헤드를 통해 전달된 프로세스 가스 화학물질과 함께, 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마; 샤워헤드를 통해 전달된 화학물질과 함께, 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 ICP 플라즈마; 샤워헤드를 통해 전달된 다른 화학물질과 함께, 접지된 페데스탈을 사용하거나 사용하지 않고 샤워헤드-전달된 산소-함유 가스 (예를 들어, 오존); 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒의 임의의 조합으로 혼합된 주파수 CCP 플라즈마 및 샤워헤드를 통해 전달된 화학물질; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 화학물질; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 화학물질; 및 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 혼합된 주파수 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 화학물질.
일부 실시예들에서, 마이크로볼륨 (607) 이 샤워헤드 (606) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨 대신 마이크로볼륨 내에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로보틱스들의 프로세스 가스들로의 노출, 등을 감소시킬 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 하락하는 동안, 사이클 시간이 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 미리 결정된 막의 타깃 두께에 대한 모듈의 전체 쓰루풋을 개선하기 충분하게 인상적이다.
일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 을 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 페데스탈 (608) 은 다양한 개시된 실시예들을 수행하기 위한 동작들 동안, 임의의 적합한 온도, 예컨대 약 25 ℃ 내지 약 650 ℃ 또는 약 35 ℃ 내지 약 100 ℃로 설정될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 밀도로 하여금 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 예를 들어, 플라즈마는 코어 재료가 산소-함유 가스에 노출된 후 개질된 코어 재료를 제거하기 위해 불활성 가스가 샤워헤드 (606) 를 통해 기판 (612) 으로 흐를 때 점화될 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (608) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 샤워헤드 (606) 의 위치는 기판 (612) 과 샤워헤드 (606) 사이의 체적을 변화시키도록 페데스탈 (608) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (650) 는 도 7의 시스템 제어기 (750) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있고, 그리고/또는 본 명세서에 기술된 바와 같은 제어기는 도 6 내지 도 9에서 본 명세서에 기술된 스테이션, 툴 또는 클러스터 구현예 실시예들 중 임의의 하나 이상으로 구성될 수도 있다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 유사하게, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (614) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 큰, 또는 27 ㎒보다 큰, 또는 40 ㎒보다 큰, 또는 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, OES 센서가 특정한 개시된 실시예들을 사용하여 특정한 양의 시간 후에 에칭을 중단하도록 엔드포인트를 설정하도록 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (650) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 산소-함유 가스), 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 아르곤과 같은 제 2 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 4-스테이션 프로세싱 툴을 위해 약 250 W 내지 약 750 W의 저 플라즈마 전력에서 플라즈마를 점화하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이러한 레시피들은 약 90°±5°의 지점에서 에칭될 하부 층의 표면과 만나는 수직 측벽들을 산출하기 위해 기판 상의 코어 재료와 같은, 탄소-함유 재료를 에칭하도록 사용될 수도 있다. 부가적인 레시피들이 또한 이어질 수도 있고 ALD에 의해 패터닝된 코어 재료 위에 컨포멀 막을 증착하도록 사용될 수도 있다. 예를 들어, 패터닝된 코어 재료 위에 실리콘 옥사이드 컨포멀 막을 증착하기 위해, 일 부가적인 레시피 페이즈들은 실리콘-함유 전구체의 플로우 레이트를 설정하기 위한 인스트럭션들을 포함할 수도 있고, 또 다른 부가적인 레시피 페이즈는 산소-함유 반응물질의 플로우 레이트를 설정하기 위한 인스트럭션들 및 부가적인 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
또한, 일부 실시예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어는 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 중 하나 또는 양자가 리모트 플라즈마 소스 (미도시) 를 포함할 수도 있는, 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 을 갖는, 멀티스테이션 프로세싱 툴 (700) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (708) 를 통해 인바운드 로드록 (702) 으로 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 이동시키도록 구성된다. 웨이퍼 (미도시) 는 인바운드 로드록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 인바운드 로드록 (702) 은 펌프 다운된다 (pump down). 인바운드 로드록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 인바운드 로드록 (702) 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (702) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 718로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALE, ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 일부 실시예들에서, 증착 전구체에 대한 노출 및 제 2 반응물질 및 플라즈마에 대한 노출은 동일한 스테이션에서 수행된다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서들 (752) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되어 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 가스들, 산소-함유 가스들, 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (예컨대 RF 바이어스 전력 레벨들), 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (750) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (750) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는, 각각 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
다양한 실시예들에서, 장치는 27 및/또는 13 ㎒ CCP들; 및/또는 ICP들 예를 들어, 리모트 플라즈마들을 포함하는 대안적인 에너제틱 소스들을 포함할 수도 있다.
임의의 적합한 챔버 및/또는 툴은 개시된 실시예들을 구현하도록 사용될 수도 있다. 예시적인 프로세싱 장치들은 이로 제한되는 것은 아니지만, 각각 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 ALTUS® 제품군, VECTOR® 제품군, SPEED® 제품군, 및/또는 STRIKER® 제품군 (예를 들어, Striker Oxide) 으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다. 2 이상의 스테이션들이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 이상의 스테이션들이 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이, 특정한 기능/방법을 수행하도록 설계 또는 구성될 수 있다.
도 8은 특정한 실시예들에 따른 프로세스들을 수행하기에 적합한, 때때로 클러스터 툴로 또한 참조되는, 프로세싱 시스템의 블록도이다. 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 기판들이 다양한 반응기 모듈들 사이에서 이동됨에 따라, 프로세싱되는 기판들의 오염의 위험을 최소화하기 위해 깨끗하고, 가압된 분위기를 제공한다. 이송 모듈 (803) 상에 2개의 멀티-스테이션 반응기들 (809 및 810) 이 장착되고, 각각 특정한 실시예들에 따라, ALD 및/또는 CVD (chemical vapor deposition) 및/또는 ALE를 수행할 수 있다. 반응기들 (809 및 810) 은 개시된 실시예들에 따라 동작들을 순차적으로 또는 비순차적으로 수행할 수도 있는 복수의 스테이션들 (811, 813, 815, 및 817) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 확산 플레이트를 포함할 수도 있다.
이송 모듈 (803) 상에 하나 이상의 단일 또는 멀티-스테이션 모듈들 (807) 이 또한 장착되고, 플라즈마 또는 화학적 (비-플라즈마) 사전-세정들, 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수도 있다. 모듈 (807) 은 일부 경우들에서 예를 들어, 증착 또는 에칭 프로세스를 위해 기판을 준비하기 위해 다양한 처리들에 사용될 수도 있다. 모듈 (807) 은 또한 에칭 또는 폴리싱과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (800) 은 또한 프로세싱 전 및 후에 웨이퍼들이 저장되는 하나 이상의 웨이퍼 소스 모듈들 (801) 을 포함한다. 대기 이송 챔버 (819) 의 대기 로봇 (미도시) 이 먼저 소스 모듈들 (801) 로부터 로드록들 (821) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (803) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 이 웨이퍼들을 로드록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들 사이에서 그리고 모듈로 이동시킨다.
다양한 실시예들에서, 시스템 제어기 (829) 는 프로세싱 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (829) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
제어기 (829) 는 프로세싱 장치의 모든 액티비티들을 제어할 수도 있다. 제어기 (829) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 제어기 (829) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로, 제어기 (829) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은, 디지털 신호 프로세서들, ASIC들, 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에서 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것을 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련될 수도 있다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (829) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 시스템 (800) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다.
시스템 소프트웨어는 많은 상이한 방식들로 구성되거나 설계될 수도 있다. 예를 들어, 개시된 실시예들에 따른 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현예들에서, 제어기 (829) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (829) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
도 9는 특정한 실시예들에 따라, 프로세스들을 수행하기에 적합한 또는 특정한 실시예들에 따른 대안적인 프로세싱 시스템의 블록도이다. 시스템 (900) 은 이송 모듈 (903) 을 포함한다. 이송 모듈 (903) 은 기판들이 다양한 반응기 모듈들 사이에서 이동됨에 따라, 프로세싱되는 기판들의 오염의 위험을 최소화하기 위해 깨끗하고, 가압된 분위기를 제공한다. 이송 모듈 (903) 상에 2개의 멀티-스테이션 반응기들 (907, 908 및 909) 이 장착되고, 본문에서 반응기 또는 툴 모듈들 또는 단순히 모듈들로 지칭되고, 각각 특정한 실시예들에 따라, ALD 및/또는 CVD (chemical vapor deposition) 및/또는 ALE를 수행할 수 있다. 반응기들 (907, 908 및 909) 은 개시된 실시예들에 따라 동작들을 순차적으로 또는 비순차적으로 수행할 수도 있는 복수의 스테이션들 (911, 913, 915, 및 917) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 확산 플레이트를 포함할 수도 있다. 모듈들 (907, 908 및 909) 중 하나 이상은 플라즈마 또는 화학적 (비-플라즈마) 사전-세정들, 또는 예를 들어, 증착 또는 에칭 프로세스를 위해 기판을 준비하기 위해 다양한 처리들에 사용될 일부 경우들을 포함하여, 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수도 있다.
시스템 (900) 은 또한 프로세싱 전 및 후에 웨이퍼들이 저장되는 하나 이상의 웨이퍼 소스 모듈들 (901) 을 포함한다. 대기 이송 챔버 (919) 의 대기 로봇 (904) 이 먼저 소스 모듈들 (901) 로부터 로드록들 (921) 로 웨이퍼들을 제거할 수도 있다. 이송 모듈 (903) 의 제 2 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) (905) 가 웨이퍼들을 로드록들 (921) 로부터 가압된 (예를 들어, 진공) 분위기의 이송 모듈 (903) 상에 장착된 모듈들 사이에서 그리고 모듈로 이동시킨다.
다양한 실시예들에서, 시스템 제어기 (929) 는 프로세싱 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (929) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
제어기 (929) 는 프로세싱 장치의 모든 액티비티들을 제어할 수도 있다. 제어기 (929) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 제어기 (929) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로, 제어기 (929) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은, 디지털 신호 프로세서들, ASIC들, 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에서 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것을 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스에서 게르마늄-함유 환원제 펄스들, 수소 플로우, 및 텅스텐-함유 전구체 펄스들, 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련될 수도 있다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (929) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세싱 장치 (900) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다.
시스템 소프트웨어는 많은 상이한 방식들로 구성되거나 설계될 수도 있다. 예를 들어, 개시된 실시예들에 따른 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현예들에서, 제어기 (929) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (929) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (829/929) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버, 툴 또는 모듈, 증착 챔버, 툴 또는 모듈, 스핀-린스 챔버, 툴 또는 모듈, 금속 도금 챔버, 툴 또는 모듈, 세정 챔버, 툴 또는 모듈, 베벨 에지 에칭 챔버, 툴 또는 모듈, PVD (physical vapor deposition) 챔버, 툴 또는 모듈, CVD (chemical vapor deposition) 챔버, 툴 또는 모듈, ALD (atomic layer deposition) 챔버, 툴 또는 모듈, ALE (atomic layer etch) 챔버, 툴 또는 모듈, 이온 주입 챔버, 툴 또는 모듈, 트랙 (track) 챔버, 툴 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 클러스터 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 수행되거나 사용될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 동작, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 동작을 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (26)

  1. 반도체 기판을 프로세싱하는 방법에 있어서,
    탄소-함유 재료의 피처들의 패턴을 포함하는 반도체 기판을 프로세스 챔버에 제공하는 단계; 및
    CD를 감소시키고 실질적으로 수직 측벽들을 갖는 트리밍된 탄소-함유 피처들을 형성하기 위해 ALE (atomic layer etch) 에 의해 탄소-함유 재료의 상기 피처들을 트리밍하는 단계로서, 상기 ALE는,
    상기 탄소-함유 재료의 표면을 개질하기 위해 플라즈마를 사용하지 않고 산소-함유 가스에 상기 탄소-함유 재료의 상기 피처들의 표면을 노출하여 상기 탄소-함유 재료의 개질된 표면을 형성하는 단계; 및
    상기 탄소-함유 재료의 상기 개질된 표면을 불활성 가스에 노출하고 상기 탄소-함유 재료의 상기 개질된 표면을 제거하고 상기 트리밍된 탄소-함유 피처들을 형성하도록 플라즈마를 점화하는 단계를 포함하는, 상기 피처들을 트리밍하는 단계를 포함하는, 반도체 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 산소-함유 가스는 산소, 오존, 수증기, 아산화질소, 일산화탄소, 포름산 증기, 이산화탄소, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 불활성 가스는 헬륨, 질소, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 탄소-함유 재료는 스핀-온 탄소, 포토레지스트, 및 비정질 탄소로 구성된 그룹으로부터 선택되는, 반도체 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 프로세스 챔버는 약 1 Torr 내지 약 10 Torr의 챔버 압력으로 설정되는, 반도체 기판을 프로세싱하는 방법.
  6. 제 1 항에 있어서,
    상기 플라즈마는 약 50 W 내지 250 W의 플라즈마 전력을 사용하여 점화되는, 반도체 기판을 프로세싱하는 방법.
  7. 제 1 항에 있어서,
    플라즈마를 사용하지 않고 상기 탄소-함유 재료의 상기 피처들의 상기 표면을 상기 산소-함유 가스에 노출하는 단계와 상기 탄소-함유 재료의 상기 개질된 표면을 상기 불활성 가스에 노출하고 상기 플라즈마를 점화하는 단계 사이에 상기 프로세스 챔버를 퍼지하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  8. 제 7 항에 있어서,
    상기 챔버는 약 0.1 초 내지 약 0.5 초의 지속기간 동안 퍼지되는, 반도체 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 ALE는 상기 탄소-함유 재료의 상기 피처들의 상기 표면을 노출하는 단계 및 상기 탄소-함유 재료의 상기 개질된 표면을 노출하는 단계를 사이클들로 반복하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    약 5 사이클들 내지 약 100 사이클들이 수행되는, 반도체 기판을 프로세싱하는 방법.
  11. 제 9 항에 있어서,
    상기 트리밍된 탄소-함유 피처들을 형성하는 단계 후,
    진공을 파괴하지 않고 ALD (atomic layer deposition) 에 의해 상기 트리밍된 탄소-함유 피처들 위에 막을 컨포멀하게 (conformally) 증착하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  12. 제 11 항에 있어서,
    상기 탄소-함유 재료의 상기 피처들을 트리밍하는 단계 및 상기 트리밍된 탄소-함유 피처들 위에 막을 컨포멀하게 증착하는 단계는 동일한 챔버에서 수행되는, 반도체 기판을 프로세싱하는 방법.
  13. 제 11 항에 있어서,
    상기 컨포멀하게 증착된 막은 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 및 금속 옥사이드들로 구성된 그룹으로부터 선택된 재료를 포함하는, 반도체 기판을 프로세싱하는 방법.
  14. 제 1 항에 있어서,
    상기 반도체 기판을 제공하는 단계 후 그리고 상기 탄소-함유 재료의 상기 피처들을 트리밍하는 단계 전에, 약 35 ℃ 내지 약 100 ℃의 온도로 상기 기판을 가열하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  15. 제 1 항에 있어서,
    상기 프로세스 챔버에 제공된 상기 반도체 기판 상의 상기 탄소-함유 재료의 피처들의 상기 패턴의 종횡비들은 약 6:1 내지 약 10:1인, 반도체 기판을 프로세싱하는 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 탄소-함유 재료의 상기 피처들의 상기 표면을 상기 산소-함유 가스에 노출하는 단계는 헬륨, 질소, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택된 캐리어 가스를 도입하는 단계를 더 포함하는, 반도체 기판을 프로세싱하는 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 탄소-함유 재료의 상기 개질된 표면을 노출하는 동작에서 점화된 상기 플라즈마는 27 ㎒ CCP들 (capacitively coupled plasmas), 13 ㎒ CCP들, ICP들 (inductively coupled plasmas), 및 리모트 플라즈마들로 구성된 그룹으로부터 선택된 하나 이상의 에너제틱 (energetic) 소스들로부터 생성되는, 반도체 기판을 프로세싱하는 방법.
  18. 제 1 항 또는 제 17 항에 있어서,
    상기 트리밍하는 단계는: 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스와 함께, 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마; 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스와 함께, 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 ICP 플라즈마; 상기 샤워헤드를 통해 또한 전달된 불활성 가스와 함께, 접지된 페데스탈을 사용하거나 사용하지 않은 산소-함유 가스의 샤워헤드-전달; 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒의 임의의 조합으로 혼합된 주파수 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마 및 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 및 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 혼합된 주파수 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스 중 하나 이상을 포함하는, 반도체 기판을 프로세싱하는 방법.
  19. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은 페데스탈을 포함하는, 상기 하나 이상의 프로세스 챔버들;
    진공으로 커플링하기 위한 하나 이상의 유출구들;
    하나 이상의 산소-함유 가스 소스들 및 연관된 산소-함유 가스 플로우 제어 하드웨어에 커플링된 하나 이상의 가스 유입구들;
    하나 이상의 불활성 가스 소스들 및 연관된 불활성 가스 플로우 제어 하드웨어에 커플링된 하나 이상의 가스 유입구들;
    플라즈마 생성기; 및
    상기 장치에서 동작들을 제어하기 위한 제어기로서, 상기 제어기는 적어도 하나의 프로세서와 메모리가 서로 통신가능하게 연결되도록, 상기 적어도 하나의 프로세서 및 상기 메모리를 포함하고, 상기 적어도 하나의 프로세서는 적어도 상기 플로우 제어 하드웨어와 동작가능하게 연결되고, 그리고 상기 메모리는 플라즈마를 사용하지 않고 산소-함유 가스를 제 1 프로세스 챔버로 도입하는 동작; 및 진공을 파괴하지 않고, 불활성 가스를 상기 제 1 프로세스 챔버로 도입하고 플라즈마를 점화하는 동작을 위한 컴퓨터 실행가능 인스트럭션들을 저장하는, 상기 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  20. 제 19 항에 있어서,
    상기 장치는, 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 가스 유입구들 및 실리콘-함유 전구체 가스들과 반응하기 위한, 산소 함유 반응물질들에 커플링된 하나 이상의 가스 유입구들을 더 포함하고, 그리고
    상기 메모리는, 제 19 항에 기재된 동작들을 n 사이클들 동안 반복하는 동작으로서, n은 5 이상 100 이하의 정수인, 상기 n 사이클들 동안 반복하는 동작; 및 그 후, 진공을 파괴하지 않고, ALD에 의해 실리콘 옥사이드 막을 증착하도록, 상기 실리콘-함유 전구체 가스 및 상기 산소-함유 반응물질의 교번하는 펄스들을 도입하는 동작을 위한 컴퓨터-실행가능 인스트럭션들을 더 저장하는, 반도체 기판들을 프로세싱하기 위한 장치.
  21. 제 19 항 또는 제 20 항에 있어서,
    상기 플라즈마 소스는 27 및/또는 13 ㎒ CCP들, ICP들 및 리모트 플라즈마들로 구성된 그룹으로부터 선택된 하나 이상의 에너제틱 소스들을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  22. 제 19 항 또는 제 20 항에 있어서,
    상기 장치는, 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스와 함께, 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마; 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스와 함께, 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 ICP 플라즈마; 상기 샤워헤드를 통해 또한 전달된 불활성 가스와 함께, 접지된 페데스탈을 사용하거나 사용하지 않은 산소-함유 가스의 샤워헤드-전달; 상기 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒의 임의의 조합으로 혼합된 주파수 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 샤워헤드-전달된 CCP 플라즈마 및 상기 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스; 및 접지된 페데스탈을 사용하여 13.56, 27, 또는 60 ㎒로 혼합된 주파수 페데스탈-전달된 CCP 플라즈마 및 샤워헤드를 통해 전달된 상기 산소-함유 가스 및 상기 불활성 가스 중 하나 이상을 위해 구성되는, 반도체 기판들을 프로세싱하기 위한 장치.
  23. 제 19 항 또는 제 20 항에 있어서,
    상기 장치는 또한 OES (optical emission spectroscopy) 센서를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  24. 제 19 항 또는 제 20 항에 있어서,
    상기 컴퓨터 실행가능 인스트럭션들은 상기 탄소-함유 재료의 개질된 표면을 형성하도록 탄소-함유 재료의 피처들의 표면을 상기 산소-함유 가스에 노출하기 위한 인스트럭션들을 더 포함하고, 상기 산소-함유 가스는 산소, 오존, 수증기, 아산화질소, 일산화탄소, 포름산 증기, 이산화탄소, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 반도체 기판들을 프로세싱하기 위한 장치.
  25. 제 24 항에 있어서,
    상기 컴퓨터 실행가능 인스트럭션들은 상기 탄소-함유 재료의 상기 개질된 표면을 상기 불활성 가스에 노출하기 위한 인스트럭션들을 더 포함하고, 상기 불활성 가스는 헬륨, 질소, 아르곤, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 반도체 기판들을 프로세싱하기 위한 장치.
  26. 제 25 항에 있어서,
    상기 컴퓨터 실행가능 인스트럭션들은 플라즈마를 사용하지 않고 상기 탄소-함유 재료의 상기 피처들의 상기 표면을 상기 산소-함유 가스에 노출하는 동작과 상기 탄소-함유 재료의 상기 개질된 표면을 상기 불활성 가스에 노출하고 상기 플라즈마를 점화하는 동작 사이에 상기 챔버를 퍼지하기 위한 인스트럭션들을 더 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020180046846A 2017-04-24 2018-04-23 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들 KR102625972B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762489113P 2017-04-24 2017-04-24
US62/489,113 2017-04-24
US15/582,359 2017-04-28
US15/582,359 US9997371B1 (en) 2017-04-24 2017-04-28 Atomic layer etch methods and hardware for patterning applications
US201862624520P 2018-01-31 2018-01-31
US62/624,520 2018-01-31
US15/955,099 US10832909B2 (en) 2017-04-24 2018-04-17 Atomic layer etch, reactive precursors and energetic sources for patterning applications
US15/955,099 2018-04-17

Publications (2)

Publication Number Publication Date
KR20180119133A true KR20180119133A (ko) 2018-11-01
KR102625972B1 KR102625972B1 (ko) 2024-01-16

Family

ID=63854077

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180046846A KR102625972B1 (ko) 2017-04-24 2018-04-23 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들

Country Status (6)

Country Link
US (1) US10832909B2 (ko)
JP (2) JP7165506B2 (ko)
KR (1) KR102625972B1 (ko)
CN (1) CN108847386B (ko)
SG (1) SG10201803376RA (ko)
TW (1) TWI780145B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021025314A1 (ko) * 2019-08-05 2021-02-11 한양대학교 산학협력단 탄소 함유 박막의 증착방법
KR20220121718A (ko) * 2021-02-25 2022-09-01 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10304680B1 (en) * 2017-12-22 2019-05-28 Macronix International Co., Ltd. Fabricating semiconductor devices having patterns with different feature sizes
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10714380B2 (en) * 2018-10-26 2020-07-14 Globalfoundries Inc. Method of forming smooth sidewall structures using spacer materials
CN111211046B (zh) * 2019-07-08 2020-12-11 合肥晶合集成电路有限公司 预处理方法、金属硅化物的形成方法以及半导体处理装置
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
JP7395773B2 (ja) * 2020-05-12 2023-12-11 ラム リサーチ コーポレーション 刺激応答性ポリマー膜の制御された分解
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
US20240030037A1 (en) 2020-09-01 2024-01-25 Adeka Corporation Etching method
CN112614775A (zh) * 2020-12-16 2021-04-06 上海华力微电子有限公司 半导体器件及其制造方法
US11640905B2 (en) 2020-12-17 2023-05-02 Applied Materials, Inc. Plasma enhanced deposition of silicon-containing films at low temperature
CN117836904A (zh) * 2021-08-24 2024-04-05 朗姆研究公司 多重图案化中的原位芯部保护

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010007315A (ko) * 1999-06-09 2001-01-26 조셉 제이. 스위니 통합된 낮은 수치의 절연 상수를 갖는 절연체 및 에칭스톱
US20120077349A1 (en) * 2010-09-23 2012-03-29 Ming Li Plasma-activated deposition of conformal films
US20130115763A1 (en) * 2011-11-04 2013-05-09 ASM International. N.V. Methods for forming doped silicon oxide thin films
US20140178568A1 (en) * 2011-04-29 2014-06-26 Applied Materials, Inc. Devices and methods for passivating a flexible substrate in a coating process
US20150037972A1 (en) * 2013-07-30 2015-02-05 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20170103914A1 (en) * 2015-10-09 2017-04-13 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US5298451A (en) 1991-04-30 1994-03-29 Texas Instruments Incorporated Recessed and sidewall-sealed poly-buffered LOCOS isolation methods
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
GB2322235B (en) 1995-10-19 2000-09-27 Massachusetts Inst Technology Metals removal process
JP2002510146A (ja) 1998-01-13 2002-04-02 アプライド マテリアルズ インコーポレイテッド 異方性プラチナプロファイルのエッチング方法
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
JP2001255670A (ja) * 2000-03-10 2001-09-21 Mitsubishi Electric Corp 微細レジストパターン形成方法および装置
US6517602B2 (en) 2000-03-14 2003-02-11 Hitachi Metals, Ltd Solder ball and method for producing same
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
KR100463237B1 (ko) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
WO2003098662A2 (en) 2002-05-14 2003-11-27 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
JP3878577B2 (ja) * 2003-06-06 2007-02-07 株式会社東芝 半導体装置の製造方法
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7018469B2 (en) 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5085595B2 (ja) 2008-09-08 2012-11-28 株式会社東芝 コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US7759239B1 (en) 2009-05-05 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing a critical dimension of a semiconductor device
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US20120100308A1 (en) 2010-10-25 2012-04-26 Asm America, Inc. Ternary metal alloys with tunable stoichiometries
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9257431B2 (en) 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
WO2015146749A1 (ja) 2014-03-26 2015-10-01 東レ株式会社 半導体装置の製造方法及び半導体装置
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9768033B2 (en) 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
WO2016033087A1 (en) 2014-08-28 2016-03-03 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010007315A (ko) * 1999-06-09 2001-01-26 조셉 제이. 스위니 통합된 낮은 수치의 절연 상수를 갖는 절연체 및 에칭스톱
US20120077349A1 (en) * 2010-09-23 2012-03-29 Ming Li Plasma-activated deposition of conformal films
US20140178568A1 (en) * 2011-04-29 2014-06-26 Applied Materials, Inc. Devices and methods for passivating a flexible substrate in a coating process
US20130115763A1 (en) * 2011-11-04 2013-05-09 ASM International. N.V. Methods for forming doped silicon oxide thin films
US20150037972A1 (en) * 2013-07-30 2015-02-05 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20170103914A1 (en) * 2015-10-09 2017-04-13 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
KR20170044014A (ko) * 2015-10-09 2017-04-24 램 리써치 코포레이션 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021025314A1 (ko) * 2019-08-05 2021-02-11 한양대학교 산학협력단 탄소 함유 박막의 증착방법
KR20220121718A (ko) * 2021-02-25 2022-09-01 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
KR102625972B1 (ko) 2024-01-16
CN108847386B (zh) 2024-01-30
TW201903886A (zh) 2019-01-16
US10832909B2 (en) 2020-11-10
TWI780145B (zh) 2022-10-11
US20180308695A1 (en) 2018-10-25
SG10201803376RA (en) 2018-11-29
JP2023012492A (ja) 2023-01-25
CN108847386A (zh) 2018-11-20
JP2018186269A (ja) 2018-11-22
JP7165506B2 (ja) 2022-11-04
JP7460727B2 (ja) 2024-04-02

Similar Documents

Publication Publication Date Title
KR102625972B1 (ko) 패터닝 애플리케이션들을 위한 ale (atomic layer etch), 반응성 전구체들 및 에너제틱 소스들
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
CN110892332B (zh) 除去光致抗蚀剂图案化浮渣的原子层清洁
CN107045969B (zh) 用于图案化非挥发性金属的室
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201534556A (zh) 用於進階圖案化之軟著陸奈米層
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
JP2021512504A (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant