TW201534556A - 用於進階圖案化之軟著陸奈米層 - Google Patents

用於進階圖案化之軟著陸奈米層 Download PDF

Info

Publication number
TW201534556A
TW201534556A TW103138602A TW103138602A TW201534556A TW 201534556 A TW201534556 A TW 201534556A TW 103138602 A TW103138602 A TW 103138602A TW 103138602 A TW103138602 A TW 103138602A TW 201534556 A TW201534556 A TW 201534556A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
semiconductor substrate
plasma
deposited
Prior art date
Application number
TW103138602A
Other languages
English (en)
Other versions
TWI640469B (zh
Inventor
Frank L Pasquale
Shankar Swaminathan
Adrien Lavoie
Nader Shamma
Girish Dixit
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/074,617 external-priority patent/US9287113B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201534556A publication Critical patent/TW201534556A/zh
Application granted granted Critical
Publication of TWI640469B publication Critical patent/TWI640469B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

提供方法,用於在核心層上沉積奈米層疊保護層,而允許在該核心層上沉積高品質保形膜,以用於先進多重圖案化方案。在若干實施例中,該等方法包含以低的高頻射頻(HFRF)電漿功率使用基於電漿的原子層沉積技術沉積薄的矽氧化物或鈦氧化物膜,接著以高HFRF電漿功率,沉積保形鈦氧化物膜或間隔部。

Description

用於進階圖案化之軟著陸奈米層
本發明係關於半導體基板處理方法。
先進積體電路製造經常涉及在大批量半導體生產中圖案化1x nm半節距特徵部。多重圖案化技術可允許基於例如193 nm浸微影之微影技術的特徵部大小尺度。自對準雙重圖案化係多重圖案化技術的例子。發展多重圖案化技術等級至11 nm或更小之半節距存在挑戰。
此處提供處理半導體基板的方法,以允許沉積高品質保形膜,用於多重圖案化積體方案。
一個實施態樣包含一種半導體基板處理方法,其係藉由在基板上沉積一奈米層疊層以及在該奈米層疊層上沉積一鈦氧化物層。該奈米層疊層可具有介於約15 Å與約200 Å之間的厚度,且可具有低於該鈦氧化物層密度的密度。在各種實施例中,該方法亦包含沉積一非晶碳層。在一些實施例中,該非晶碳層係圖案化的。在各種實施例中,該奈米層疊層包含一堆疊,該堆疊包含二層以上的子層。在一些實施例中,該二層以上的子層包含矽氧化物、或鈦氧化物、或其組合。在若干實施例中,該堆疊僅包含二層子層。在一些實施例中,該奈米層疊層包含矽氧化物第一子層及鈦氧化物第二子層。
在各種實施例中,該奈米層疊層係矽氧化物或鈦氧化物。在若干實施例中,該奈米層疊層係使用例如電漿輔助原子層沉積法(PEALD)之基於電漿的製程加以沉積,其係藉由以下步驟進行:暴露該基板於一含鈦先質或一含矽先質;暴露該基板於一氧化劑;及在該基板暴露於該氧化劑之時,啟動電漿。在若干實施例中,該奈米層疊層係在介於約50 ℃與約150 ℃之間的溫度下加以沉積,且電漿係在介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積高頻射頻(HFRF)功率下加以啟動。在若干實施例中,該奈米層疊層係在低於約100 ℃的溫度下加以沉積。可用於此處揭露實施例之方法的含鈦先質的例子係肆二甲基胺基鈦(TDMAT)。含矽先質的例子係雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS)。
在各種實施例中,該鈦氧化物層係藉由PEALD加以沉積,其係藉由以下步驟進行:暴露該基板於一含鈦先質;暴露該基板於一氧化劑;及在至少約每平方公厘1.768 × 10-3 W的每平方公厘基板面積HFRF功率下,在該基板暴露於該氧化劑的同時,啟動電漿。氧化劑的例子包含氧化亞氮、氧、二氧化碳、或其混合物。在一些實施例中,該鈦氧化物層可在介於約50 ℃與約400 ℃之間的溫度下加以沉積。
另一實施態樣包含一種半導體基板處理方法,其係藉由:沉積一核心層;在該核心層上沉積一奈米層疊層;及在該奈米層疊層上沉積一金屬氮化物或金屬氧化物層。在一些實施例中,該核心層係圖案化的。在若干實施例中,該核心層可為非晶碳或光阻。在各種實施例中,該奈米層疊層可為矽氧化物或鈦氧化物。在一些實施例中,所沉積奈米層疊層的厚度係介於約15 Å與約200 Å之間。
在若干實施例中,該奈米層疊層係使用PEALD加以沉積,其係藉由:暴露基板於一含鈦先質或一含矽先質;暴露該基板於一氧化劑;及在該基板暴露於該氧化劑時,將電漿啟動。在各種實施例中,該奈米層疊層係在介於約50 ℃與約150 ℃之間的溫度下加以沉積,且電漿係在介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積HFRF功率下加以啟動。在若干實施例中,該奈米層疊層係在低於約100 ℃的溫度下加以沉積。
在各種實施例中,金屬氮化物或金屬氧化物層包含鈦氧化物或矽氧化物。該金屬氮化物或金屬氧化物層可相對於核心具有蝕刻選擇性。在若干實施例中,該金屬氮化物或金屬氧化物層係使用PEALD加以沉積,其係藉由:暴露基板於一含金屬先質;露該基板於一氧化劑;及在每平方公厘至少約1.768 × 10-3 W的每平方公厘基板面積HFRF功率下,在該基板暴露於該氧化劑的同時,啟動電漿。氧化劑的例子包含氧化亞氮、氧、二氧化碳、或其組合。在一些實施例中,該金屬氮化物或金屬氧化物層係在介於約50 ℃與約400 ℃之間的溫度下加以沉積。
另一實施態樣包含一種半導體基板處理方法,其係藉由(a)暴露基板於一第一含鈦先質或一含矽先質;(b)暴露該基板於一第一氧化劑;(c)以介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積HFRF功率,在該基板暴露於該第一氧化劑時,啟動第一電漿;(d)暴露該基板於一第二含鈦先質;(e)暴露該基板於一第二氧化劑;及(f)以至少每平方公厘約1.768 × 10-3 W的每平方公厘基板面積HFRF功率,在該基板暴露於該第二氧化劑時,啟動第二電漿。
在一些實施例中,(a)到(c)係在介於約50 ℃與約150 ℃之間的溫度下加以處理。在一些實施例中,(d)到(f)係在介於約50 ℃與約400 ℃之間的溫度下加以處理。在一些實施例中,(d)到(f)係在較(a)到(c)為高的溫度下加以處理,使得自(c)過渡至(d)包含升高基板溫度至少約50 ℃、至少約100 ℃、至少約150 ℃、或至少約200 ℃。
該第一含鈦先質和第二含鈦先質可為相同先質,例如TDMAT。在一些實施例中,第一氧化劑可相同於第二氧化劑(例如氧化亞氮、氧、二氧化碳、或其組合)。在一些實施例中,使用不同的氧化劑或混合物可能是有益的。在一些實施例中,基板包含非晶碳。在一些實施例中,非晶碳係圖案化的。
在各種實施例中,該方法亦包含在啟動第二電漿之後,平坦化該基板以暴露非晶碳,且選擇性蝕刻該非晶碳以形成一遮罩。
另一實施態樣包含半導體基板圖案化方法,其係藉由:在沉積保形膜於一核心層之前,在一圖案化的核心層上沉積一奈米層疊保護層;沉積一保形膜於該奈米層疊保護層之上;平坦化該保形膜以暴露核心;及選擇性蝕刻該核心以形成一遮罩。
在若干實施例中,核心層包含非晶碳。在各種實施例中,奈米層疊保護層包含矽氧化物或鈦氧化物。在若干實施例中,奈米層疊保護層的厚度係介於約15 Å與約200 Å之間。在許多實施例中,該奈米層疊保護層係使用PEALD加以沉積,其係藉由:暴露該基板於一含鈦先質或一含矽先質;暴露該基板於一氧化劑;及在該基板暴露於該氧化劑時,啟動第一電漿。
在一些實施例中,奈米層疊保護層係在低於約100 ℃的溫度下沉積。在各種實施例中,奈米層疊保護層係在介於約50 ℃與約150 ℃之間的溫度下加以沉積,且第一電漿係在介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積HFRF功率下加以啟動。
在一些實施例中,鈦氧化物層係使用PEALD加以沉積,其藉由:暴露基板於一含鈦先質;暴露該基板於一氧化劑;及在至少每平方公厘約1.768 × 10-3 W的每平方公厘基板面積HFRF功率下,在基板暴露於氧化劑時,啟動第二電漿。
另一實施態樣包含一種半導體基板處理設備,其包含:一個以上製程腔室;進入該等製程腔室的一個以上氣體入口和相關聯的流量控制硬體;一HFRF產生器;及一控制器,具有至少一處理器及一記憶體,使得該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少操作性連接該流量控制硬體及HFRF產生器,且該記憶體儲存電腦可執行指令,用於:暴露基板於一含金屬先質;暴露該基板於一第一氧化劑;以介於約12.5 W與125 W之間的HFRF功率,在該基板暴露於該第一氧化劑時,啟動第一電漿;暴露該基板於一含鈦先質;暴露該基板於一第二氧化劑;及以至少約125 W的HFRF功率,在該基板暴露於該第二氧化劑時,啟動第二電漿。
這些和其他實施態樣,以下將參照圖式進一步說明。
在以下說明中,描述許多特定細節,以提供所述實施例的完整理解。所揭露實施例可在沒有若干或全部這些特定細節的情況下加以實施。另一方面,眾所周知的製程操作不再詳細描述,以免不必要地混淆所揭露實施例。雖然所揭露實施例將配合這些特定實施例加以描述,吾人理解這無意限定所揭露的實施例。
半導體元件製造通常包含在一積體生產製程中沉積一層以上薄膜,且可包含圖案化步驟。多重圖案化技術係用以製造先進積體電路,例如具有較小特徵部或較高深寬比者、或小至2x或1x節點者。術語「1x」節點意指介於10 nm與19 nm之間的製程節點,且術語「2x」節點意指介於20 nm與29 nm之間的製程節點。多重圖案化的例子係自對準雙重圖案化,其產生雙倍數量之習知微影法所形成圖案特徵部。隨著元件變得較小,較窄的半節距特徵部可利用先進的多重圖案化技術達成,例如四倍圖案化或「四重圖案化」。
在圖1-11中提供四重圖案化方式的例子。圖1提供在多層堆疊中可包含之各種層的例子的示意圖,例如在適用於半導體處理之晶圓之上。在圖1中的多層堆疊包含一第一核心層,其形成為硬遮罩104之上的第一核心(亦稱為第一芯軸(mandrel))103,該第一核心可在一先前的製程中微影形成。硬遮罩104可在一第二核心層105之上,該第二核心層105係沉積在層107之上。層107可包含一硬遮罩層107a、一蓋層107b、及一遮罩層107c,其可用作一遮罩以圖案化後續的目標層150。阻障層、蓋層、或蝕刻終止層可介於遮罩層107c與目標層150之間。熟習此技藝者將瞭解,可能有一層以上沉積在上述層其中任意者之間,且目標層150可包含一個以上額外的層。
第一核心層(且因而第一核心103)可相對於堆疊中的其他材料(例如矽及/或矽基氧化物或氮化物)為高蝕刻選擇性,且可為透明的。第一核心層可為光阻,或可由非晶碳材料或非晶矽材料製成。在一些實施例中,第一核心層可藉由例如電漿輔助化學汽相沉積(PECVD)之沉積技術沉積在硬遮罩104之上,且該沉積技術可包含在沉積腔室中自包含烴先質的沉積氣體產生電漿。烴先質可由化學式Cx Hy 定義,其中x係介於2與10之間的整數,且y係介於2與24之間的整數。例子包含甲烷(CH4 )、乙炔(C2 H2 )、乙烯(C2 H4 )、丙烯(C3 H6 )、丁烷(C4 H10 )、環己烷(C6 H12 )、苯(C6 H6 )、及甲苯(C7 H8 )。可使用包含高頻(HF)功率及低頻(LF)功率之雙重射頻(RF)電漿源。或者是,可使用單一RF電漿源。典型上,此一電漿源將是HFRF電漿源。
一第二核心層105係在形成為第一核心103之第一核心層的下方。一硬遮罩104可介於第一核心層與第二核心層105之間。硬遮罩104相對於第一核心層及第二核心層105可具有高蝕刻選擇性,以在圖案化第一核心層之時不蝕刻第二核心層105。第二核心層105可由非晶碳材料或非晶矽材料製成。沉積方法及沉積先質可為以上就第一核心層所述任一者。一旦圖案化,第二核心層係形成為第二核心(亦稱為第二芯軸)105’(顯示於圖5),且可用於在後續層中蝕刻一遮罩,例如遮罩層107c,其可接著用以圖案化目標層150。在若干實施例中,遮罩層107c可由非晶碳材料或非晶矽材料製成。在四重圖案化方式中,例如圖1-11所述者,遮罩層107c可為光阻圖案的四重圖案,使得在光阻中的一個特徵部係加以圖案化及轉移而在目標層150中形成四個特徵部。
其他層可在第二核心層105與目標層150之間,上述其他層係例如一硬遮罩層107a、或蓋層107b、或用以圖案化目標層150的遮罩層107c。目標層150可為最終加以圖案化的層。目標層150可為半導體、介電質、或其他層,且可由例如矽(Si)、矽氧化物(SiO2 )、矽氮化物(SiN)、或鈦氮化物(TiN)製成。目標層150可藉由原子層沉積法(ALD)、電漿輔助ALD(PEALD)、化學汽相沉積法(CVD)、或其他適合的沉積技術加以沉積。
在一個範例中,例如圖1所顯示之四重圖案化堆疊的成分及厚度可如下:400 Å的非晶碳第一核心103、150 Å四乙基正矽酸鹽(TEOS)的硬遮罩層104、350 Å非晶碳第二核心層105、150 Å TEOS硬遮罩層107a、100 Å非晶矽蓋層107b、300 Å非晶碳遮罩層107c,上述層皆在一矽目標層或基板150之上,其可包含一選擇性的200 Å鈦氮化物阻障層及一選擇性的300 Å TEOS硬遮罩層。在一個例子中,以下者可沉積在400 Å的非晶碳第一核心層之上以在微影圖案化之前定義如圖1範例所示之第一核心層:100 Å-150 Å SiON蝕刻終止層、300 Å底部抗反射塗佈(BARC)層、及1000 Å光阻第一核心層。
在圖2中,保形膜109係沉積在第一核心103之上。保形膜109亦可稱為「間隔部」,且可加以沉積而符合多層堆疊上圖案的形狀,以在該圖案上產生一均勻分布的層。如以下進一步描述的,保形膜109可形成為多個個別間隔部,其每一者係鄰接第一核心103的一側壁。保形膜109相對於核心具有高蝕刻選擇性。舉例來說,保形膜109相對於一非晶碳層具有大於3:1的蝕刻選擇性。在若干實施例中,保形膜109在鹵化物蝕刻化學品中相對於多晶矽具有大於15:1的蝕刻選擇性。
保形膜109可由例如SiO2 之介電材料製成。保形膜109亦可為氧化物,例如鈦氧化物(TiO2 ),或可為矽氮化物(SiN)。在一些實施例中,保形膜109係由較緻密的材料製成,以承受多「次(pass)」的圖案化,且可藉由如以下簡述之ALD、PEALD、或保形膜沉積(CFD)方法加以沉積。
ALD製程使用表面介導沉積反應以逐層地沉積膜。在一個例示ALD製程中,包含一群表面活性部位的基板表面,係暴露於第一膜先質(P1)的氣相分布。P1的一些分子可在基板表面上形成凝相,包含P1的化學吸附物種及物理吸附分子。反應器接著加以排空,以移除氣相及物理吸附的P1,使得僅化學吸附物種留存。一第二膜先質(P2)接著導入反應器,使得若干P2分子吸附至基板表面。反應器可再度加以排空,此次係用以移除游離的P2。隨後,提供至基板的熱能活化所吸附P1和P2分子之間的表面反應,形成一膜層。最後,將該反應器排空,以移除反應副產物和可能之未反應的P1和P2,結束此ALD循環。可包含額外的ALD循環以建立膜厚度。在PEALD製程的例子中,在將第二膜先質P2導入反應器時將電漿啟動,以活化P1與P2之間的反應。
CFD可用以沉積保形膜109。一般而言,CFD不需要在形成膜的反應之前完全沖洗一個以上反應物。舉例來說,當電漿(或其他活化能量)點燃時可能有一個以上反應物以汽相存在。因此,在一ALD製程中所描述的製程步驟其中一者以上,在一例示CFD製程中可加以縮短或取消。此外,在若干實施例中,沉積反應的電漿活化可導致較熱活化反應低的沉積溫度,可能降低積體製程的熱預算。基於上下文需要,提供CFD簡短說明。CFD循環的概念係相關於此處各種實施例的探討。如同ALD製程,一般而言一循環係用以執行一表面沉積反應一次所需的最小操作集合。一個循環的結果,係在一基板表面產生至少一局部的膜層。典型上,一CFD循環僅包含將各反應物輸送及吸附至基板表面且接著使那些吸附的反應物反應形成局部膜層所需的那些步驟。該循環可包含若干輔助步驟,例如掃除此等反應物或副產物其中一者以上及/或處理原沉積的局部膜。一般而言,一循環僅含有一獨特操作順序的一個實例。舉例來說,一循環可包含以下操作:(i)反應物A的輸送/吸附;(ii)反應物B的輸送/吸附;(iii)將B掃出反應腔室;及(iv)施加電漿以驅動A與B的表面反應,以在表面上形成局部膜層。當使用於此處,術語PEALD包含CFD製程。
以下條件係適於藉由一CFD製程沉積一矽氧化物保形膜109之條件的例子。沉積可發生在介於約50 ℃與約400 ℃之間的溫度,介於約0.5托與約10托之間壓力,及介於約100 W與10 kW之間用於四個站的RF功率。RF活化頻率可對於各種實施例變化於約13.56 MHz與40 MHz之間。對於矽氧化物保形膜109,可使用的製程氣體包含:作為矽來源的矽醯胺(例如BTBAS、BDEAS(雙二乙基胺基矽烷)或DIPAS(二異丙基氨基矽烷))、及作為氧來源的氧或氧化亞氮或二氧化碳(獨立或共同地),其以例如氬或氮之惰性載體氣體稀釋。製程氣體流率可如下:對於(液態)矽先質(例如BTBAS、BDEAS、及DIPAS),介於約1 sccm與3 sccm之間,舉例來說,於約2.5 sccm的BTBAS;對於氧先質(O2 、N2 O),介於約5000 sccm與10,000 sccm,舉例來說,於5000 sccm的N2 O;及對於載體氣體(Ar或N2 ),介於約0 sccm與10,000 sccm之間,舉例來說,約5000 sccm的Ar。
在圖3中,保形膜109係加以回蝕刻或平坦化,以暴露第一核心103。在各種實施例中,保形膜109可藉由一多步驟製程加以平坦化。在一個例子中,保形膜109可藉由流動約30秒首先約10 sccm至約100 sccm的氯(Cl2 ),接著約10 sccm至約100 sccm的甲烷(CH4 ),接著約10 sccm至約100 sccm的氮(N2 ),而加以回蝕刻。在一些實施例中,可在介於約10 ℃與約20 ℃之間的溫度以及介於約2 毫托與約20 毫托之間的壓力,將保形膜109蝕刻約30秒。在一些實施例中,基板可在介於約40 ℃與約60 ℃之間的溫度以及介於約5 毫托與約100 毫托之間的壓力加以蝕刻。在許多實施例中,執行非等向性電漿蝕刻以暴露該核心,且自保形膜109形成間隔部109’的結構。
在圖4中,第一核心103係加以剝離或蝕刻,在基板上留下獨立的間隔部109’。若該第一核心層係光阻,第一核心103可藉由,在介於約5毫托與約20毫托之間的壓力,於介於約40 ℃與約60 ℃之間的溫度,以介於約100 sccm與約200 sccm之間的流率流動氧(O2 ),而加以蝕刻。
若第一核心層係由非晶碳材料製成,第一核心103可使用灰化方法加以剝除或蝕刻。一灰化方法可依靠化學反應進行材料移除,而非高能離子的方向性運動。舉例來說,暴露於一灰化操作中所使用製程氣體的任一表面,可受到由於暴露所導致的材料移除,因此在第一核心103中所使用的非晶碳材料相對於間隔部109’可具有高蝕刻選擇性,使得在灰化第一核心103之時間隔部109’係未被蝕刻。此外,不同於一些化學蝕刻製程,灰化操作可產生完全氣態的反應產物。舉例來說,碳膜的灰化操作可利用解離的氫(H2 )或氧(O2 )作為製程氣體,其可與碳膜反應而形成此氣相反應副產物。在一些實施例中,殘留的間隔物109’可利用各種蝕刻條件加以形塑用於後續處理。
在圖5中,第二核心層105係利用間隔部109’作為遮罩加以向下蝕刻,藉此轉移圖案而形成第二核心105’。第二核心層105,可在介於約50 ℃與約70 ℃之間的溫度於介於約5毫托與約100毫托之間的壓力,利用適於蝕刻第二核心層105但不蝕刻間隔部109’的化學品加以蝕刻。第二核心層105因此相對於間隔部109’為高蝕刻選擇性。第二核心層105可為非晶碳層,或非晶矽層。在第二核心層105上方可為蓋層104,其可為矽抗反射塗層、或PECVD介電層、或旋塗式玻璃。
在圖6中,間隔部109’及蓋層104係加以蝕刻或以其他方式移除,留下圖案化的第二核心105’。在一些實施例中,間隔部109’可在約10 ℃與約20 ℃之間的溫度,以及介於約2毫托與約20毫托之間的壓力,以約30秒時間加以移除。在一些實施例中,基板可在約40 ℃與約60 ℃之間的溫度以及介於約5毫托與約100毫托之間的壓力加以蝕刻。在許多實施例中,執行非等向性電漿蝕刻。在一個範例中,藉由以約30秒首先流動約10 sccm至約100 sccm的氯(Cl2 ),接著約10 sccm至100 sccm的甲烷(CH4 ),接著約10 sccm至約100 sccm的氮(N2 ),將間隔部109’ 蝕刻。
在圖7中,一第二保形膜119係沉積在圖案化的第二核心105’之上。在許多實施例中,第二保形膜119可為一鈦氧化物層,其可藉由PEALD方法沉積。
在圖8中,第二保形膜119係加以蝕刻或平坦化,以暴露第二核心105’。條件及方法可為以上就圖3所述任何一者。
在圖9中,第二核心105’係加以蝕刻或移除,留下獨立的第二間隔部119’。條件及方法可為以上就圖4所述任何一者。
在圖10中,遮罩層107c係利用第二間隔部119’作為遮罩加以向下蝕刻,藉此自第二間隔部119’轉移圖案以形成圖案化遮罩107c’。遮罩層107c相對於第二保形膜119可為高蝕刻選擇性的,且可取決於遮罩層107c的化學性質藉由以上就圖5所述任何方法加以蝕刻。
在圖11中,第二間隔部119’以及蝕刻終止層107a和蓋層107b係加以移除,留下圖案化的遮罩107c’。移除第二間隔部119’的條件及方法可為以上就圖6所述任何一者。遮罩107c’可接著用以圖案化後續的層,例如目標層150。圖1至11所述製程步驟的結果係一四重圖案化方式,使得一單一微影定義特徵部(例如圖1中的第一核心103)形成在基板上的四個較小特徵部。四重圖案化方式可用以形成特徵部,其具有小至10 nm的半節距,或介於10 nm與20 nm之間的半節距,這藉由目前的雙重圖案化方式是無法達成的。
由於形成較小特徵部的本質,第二保形膜119所使用的材料,可相對於在較寬核心的間隔部中所使用之保形膜具有較高品質,以維持強韌性且在後續圖案化步驟中暴露於嚴酷條件時防止翹曲。較高品質的保形膜材料可具有接近理想的化學計量比,例如若保形膜為鈦氧化物,較高品質的鈦氧化物保形膜可具有接近理想的Ti:O化學計量比(例如1:2)以及低的碳含量。較高品質保形膜可藉由ALD加以沉積,其可自氧化半反應執行一完全轉化,藉此形成接近理想的化學計量比。因此,較高品質保形膜材料可從而具有低蝕刻速率及高蝕刻選擇性,且亦係相對於氧化物及氮化物為無限選擇性。這些膜亦可具有較高模量,例如大於約150 MPa,其有助於改善作為間隔部的保形膜的機械穩定性,藉此改善關鍵尺寸均勻性(CDU)。此處揭露的較高品質保形膜材料亦可為緻密的,以耐受後續的積體步驟。
此處提供薄的保形奈米層疊保護層之沉積方法。亦提供可用作間隔部之高品質膜的形成方法,其利用包含沉積一奈米層疊層之方法。該奈米層疊層可改善間隔部強韌性,且在沉積保形膜期間保護下方核心層免於劣化。
直接在一核心層上沉積高品質膜,例如高品質鈦氧化物,可能造成由於在間隔部沉積期間嚴酷環境導致的核心劣化或消耗,從而損傷下層的圖案化核心。舉例來說,在沉積高品質保形膜期間,一圖案化核心層可能暴露於氧自由基,例如以至少約1000 W的高HFRF功率自N2 O/O2 順序型電漿所產生者。由於較高功率,更多氧自由基形成,這增加在核心層上的自由基轟擊以及隨後的核心圖案劣化。氮化物若使用作為核心層上的蓋層,可能能夠耐受此轟擊,但由於氮化物相對於若干核心材料係非選擇性的,氮化物並不適合。在沉積保形膜後,基板可接著加以平坦化以暴露核心及移除核心,但由於核心已耗損或劣化,間隔部之間所產生的寬度可能縮減,這導致在半導體基板中不規則圖案。此處所揭露方法,不使用低品質保形膜防止核心劣化,而是使高品質間隔部材料能夠使用。
奈米層疊保護層,由於在沉積期間所使用的較低HFRF功率,與保形膜相比較不緻密。因此奈米層疊保護層可能以充分保護該核心的最小厚度加以沉積。最小化奈米層疊保護層可能有助於後續的積體。奈米層疊保護層,可以較高品質保形膜可沉積於其上的程度保護一下層。核心耗損和劣化因而降低,且核心可耐受在高品質保形膜沉積期間的較嚴酷條件。在後續圖案化步驟中,高品質保形膜可耐受其他嚴酷條件且仍維持作為獨立結構的高強韌性。奈米層疊保護層亦可防止間隔部傾斜而允許關鍵尺寸變異性,這是藉由維持核心幾何結構的完整性並對獨立間隔部結構提供機械式支撐,從而增進在半導體處理中形成較低半節距之較精細特徵部的能力。
使用奈米層疊保護層之例示方法,可開始於提供一多堆疊半導體基板,其具有微影定義或圖案化的第一核心層,例如以上就圖1所述者。在一些實施例中,一奈米層疊保護層。在一些實施例中,奈米層疊保護層可在沉積保形膜109之前沉積在第一核心103之上,如以下就圖13所述實施例。在各種實施例中,保形膜109可沉積在第一核心103之上,例如在圖2中之情形。在保形膜109加以平坦化後,例如圖3所顯示,第一核心103可加以蝕刻或移除,以暴露剩餘的間隔部109’,例如圖4所顯示。一第二核心層105可使用間隔部109’作為遮罩加以向下蝕刻,從而轉移圖案而形成第二核心105’,例如圖5所顯示。間隔部109’可加以移除而暴露圖案化的第二核心105’,例如圖12所顯示。第二核心層105可為非晶碳層,或非晶矽層。
在圖13中,奈米層疊保護層111可在第二核心105’上加以保形沉積。奈米層疊層111的例示厚度,係介於約15 Å與約200 Å厚之間、介於約15 Å與約100 Å厚之間、或介於約15 Å與約50 Å厚之間。要注意到,圖13所述厚度係以說明為目的誇大且僅作為範例。
在一些實施例中,奈米層疊層111可包含一堆疊,其可具有二層以上的子層(未顯示)。舉例來說,該堆疊可為雙層。在一些實施例中,此等子層具有相同的成分,且在一些實施例中,此等子層具有不同的成分。在一些實施例中,奈米層疊層111係一層。在一個實施例中,奈米層疊層111係一矽氧化物層。在另一例子中,奈米層疊層111係一鈦氧化物層。在雙層奈米層疊層111的一個例子中,上層係矽氧化物,且下層係鈦氧化物。在雙層奈米層疊層111的另一例子中,上層係鈦氧化物,且下層係矽氧化物。
由於可能用以沉積奈米層疊層111的較低HFRF功率,奈米層疊層111亦可能與保形膜中所沉積材料相比較不緻密。在一些實施例中,奈米層疊層111可為一ALD氧化物,例如矽氧化物(SiO2 )或鈦氧化物(TiO2 ),且稱為「軟」ALD氧化物。當設定圖案化方案的處理條件及決定蝕刻的圖案時,奈米層疊層111的厚度可加以考慮。在各種實施例中,奈米層疊層111係藉由保形膜沉積(CFD)或PEALD加以沉積。
在圖14中,第二保形膜129係沉積在奈米層疊層111之上。在若干實施例中,第二保形膜129係高品質鈦氧化物膜,例如一鈦氧化物層,其具有低濕式蝕刻速率以及相對於氧化物(例如矽氧化物(SiO2 ))及氮化物(例如矽氮化物(SiN))具有無限選擇性的高乾式蝕刻選擇性。舉例來說,第二保形膜129相對於非晶碳層可具有大於3:1的蝕刻選擇性。在一些實施例中,第二保形膜129在鹵化物蝕刻化學品中相對於多晶矽層具有大於15:1的蝕刻選擇性。在一個範例中,沉積至約15 Å厚度的奈米層疊層111可足以保護第二核心105’免受沉積約110 Å之第二保形膜129的影響。在各種實施例中,第二保形膜129係藉由CFD或PEALD加以沉積。在一些實施例中,第二保形膜129係較奈米層疊層111緻密。
在圖15中,基板係加以平坦化,暴露具有奈米層疊層111側壁的第二核心105’且產生側壁第二間隔部129’。平坦化的條件可為以上就圖3所述任意一者。
在圖16中,第二核心105’係加以蝕刻並移除。條件及方法可為以上就圖9所探討任意一者。在一些實施例中,由於蝕刻步驟的本質,一小部分奈米層疊層111可能被蝕刻。在一些實施例中,奈米層疊層111係充分蝕刻選擇性的,而在移除第二核心105’時未被蝕刻。要注意到,第二間隔部129’係位於奈米層疊層111的一薄層之上,具有一薄的奈米層疊層111在其側壁上,這可從而加強其穩定性並防止傾斜。更進一步的是,在一些實施例中,奈米層疊材料可隨第二核心105’一起完全移除。如上所述,在一些實施例中,奈米層疊層111的厚度及其受移除的程度,在計畫圖案化方案以達成所欲尺寸時可加以考慮。然而,在一些實施例中,奈米層疊層111的厚度可在圖案化尺寸的容限之內,且可能不需要考慮。
在圖17中,遮罩層107c係利用第二間隔部129’作為遮罩加以向下蝕刻。由於較高品質第二間隔部129’之提高的穩定性及強韌性,此操作可更容易且更有效率地完成,形成具有高深寬比之精細、穩定的特徵部。
在圖18中,奈米層疊層111及第二間隔部129’係加以蝕刻或移除,以暴露所產生的圖案化遮罩107c’。條件及方法可為以上就圖5所述任意一者。圖案化遮罩107c’可接著使用,以圖案化一後續的層,其可用以圖案化目標層150。在一些實施例中,圖案化的遮罩107c’可用以圖案化目標層150。
圖19係根據各種實施例的一方法之步驟的製程流程圖。此處所述循環及暴露時間可取決於所使用設備及平台,且熟習此技藝者可據此調整循環和暴露時間。在操作1901中,一基板可暴露於第一先質,例如含鈦先質或含矽先質。在一些實施例中,基板包含一核心層。在各種實施例中,核心層係非晶碳、非晶矽、或光阻。在一些實施例中,核心層係加以微影定義或圖案化。在若干實施例中,基板包含一圖案化非晶碳層。
含鈦先質的例子包含肆二甲基胺基鈦(TDMAT)、四乙氧基鈦、肆(二甲基胺基)鈦、異丙氧化鈦(titanium isopropoxide)、四異丙氧化鈦、及四氯化鈦。含矽先質可例如矽烷、鹵代矽烷、或胺基矽烷。矽烷含有氫及/或碳基團,但不含有鹵素。矽烷的例子為甲矽烷(SiH4 )、乙矽烷(Si2 H6 )、及有機矽烷,例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、仲丁基矽烷、叔己基矽烷(thexylsilane)、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷、四乙基正矽酸鹽(亦稱為四乙氧基矽烷或TEOS)及類似者。鹵代矽烷含有至少一鹵素基團,且可含有或可不含有氫及/或碳基團。鹵代矽烷的例子係碘矽烷、硼矽烷、氯矽烷、及氟矽烷。雖然鹵代矽烷,特別是氟矽烷,可形成能夠蝕刻矽材料的反應性鹵化物物種,在此處所述的若干實施例中,當電漿點燃時含矽反應物是不存在的。特定的氯矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷(thexyldimethylchlorosilane)、及類似者。胺基矽烷包含與一矽原子鍵結的至少一氮原子,且亦可含有氫、氧、鹵素及碳。胺基矽烷的例子係一、二、三、及四胺基矽烷(分別為H3 Si(NH2 )4 、H2 Si(NH2 )2 、HSi(NH2 )3 、及Si(NH2 )4 ),以及經取代的一、二、三、及四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS)、叔丁基甲矽烷基胺基甲酸酯、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 等等。胺基矽烷的另一例子係三甲矽烷基胺(N(SiH3 )3 )。
在操作1901後,可在一掃除階段以一注入器沖洗或一泵出步驟,將沉積腔室加以沖洗。一般而言,一沖洗階段將汽相反應物之一者自反應腔室移除或沖洗,且通常僅發生在此反應物輸送完成之後。換言之,那個反應物在掃除階段期間不再輸送至反應腔室。然而,該反應物在掃除階段期間維持吸附於基板表面上。通常,掃除係用以在反應物吸附至基板表面上達所欲程度之後移除在腔室中的任何殘留汽相反應物。一掃除階段亦可自基板表面移除弱吸附物種(例如若干先質配體或反應副產物)。在ALD中,掃除階段已被視為必要的,用以防止二個反應物的氣相交互作用或一反應物與表面反應之熱、電漿、或其他驅動力的交互作用。一般而言,且除非此處另行具體指明,可藉由(i)排空一反應腔室,及/或(ii)流動不含待掃出物種的氣體通過此反應腔室,達成一掃除/沖洗階段。在(ii)的情況中,此氣體可為例如惰性氣體。
在操作1903中,基板可暴露於第二先質或氧化劑。在一些實施例中,氧化劑係氧化亞氮(N2 O)或氧(O2 )或二氧化碳(CO2 )或一混合物或以上組合。在一些實施例中,氧化劑可為氧(O2 )與一弱氧化劑的混合物,該弱氧化劑係例如N2 O、CO、CO2 、NO、NO2 、SO、SO2 、Cx Hy Oz 、及/或H2 O。在其他實施方式中,氧化反應物可全然為弱氧化劑。或者,氧化反應物可包含O3 。在一些實施例中,氧化反應物係約0-50%的O2 及約50-100%的弱氧化劑。
在一些實例中,反應物其中一者可連續輸送(例如縱使在其他反應物輸送期間及/或在電漿暴露期間)。舉例來說,一氧化反應物可連續輸送。持續流入的反應物可與例如氬之載體氣體一起輸送至反應腔室。在一些實例中,向反應腔室持續流動的反應物之輸送,係利用分流閥/入口閥切換而加以控制。氣流變化可為轉向式或協流式。在一個範例中,持續流動的反應物係週期性自反應腔室加以轉向,使得它僅在若干時間輸送至反應腔室。連續流動的氣體可使用適當的閥轉向至出口/卸放部。舉例來說,一氧化反應物可連續流動,但僅週期性輸送至反應腔室。當氧化反應物未輸送至反應腔室時,它可能被轉向至一出口、回收系統等等。
在操作1905中,電漿可以低HFRF功率起始,同時將基板暴露於氧化劑。在一些實施例中,單位晶圓面積的低HFRF功率係介於每mm2 約1.768 × 10-4 W與每mm2 約1.768 × 10-3 W,其中mm2 代表晶圓表面面積的單位。電漿功率可隨晶圓表面面積線性縮放。舉例來說,對於300 mm晶圓低HFRF功率可介於每站約12.5 W至約125 W之間,或者對於450 mm晶圓低HFRF功率可介於約28 W至約280 W之間。沉積溫度可介於約50 ℃與約150 ℃之間。在操作1905之後,沉積腔室可再度加以沖洗。這些步驟可重複,直到沉積所欲的膜厚度為止。
在一些實施例中,操作1905可以HFRF功率在低溫進行,例如低於約100 ℃的溫度,同時將300 mm基板在一四站式基台中介於約50 W與約500 W之間暴露於氧化劑,該功率係施加至四站式機台的總功率。
在操作1907中,基板可暴露於含鈦先質。含鈦先質的例子可為就操作1901所述任一者。舉例來說,基板可暴露於TDMAT約2秒,這可描述為「用劑」。在操作1907之後,沉積腔室可以一注入器沖起或泵出步驟加以沖洗。舉例來說,沖洗可持續約10秒。
在操作1909中,基板可暴露於另一先質或氧化劑。在許多實施例中,該氧化劑係氧化亞氮(N2 O)或氧(O2 )或二氧化碳(CO2 )或其混合物。舉例來說,操作1909可約30秒,使得在開始的25秒,沒有氧化劑流入且僅載體氣體或惰性氣體流入,且氧化劑在該25秒後開始流入且持續至下一操作。載體氣體的例子包含氬(Ar)及氮(N2 )。這些載體氣體的流率可介於約0 sccm與約10,000 sccm之間。
在操作1911中,電漿可以高HFRF功率起始,且同時將基板暴露於氧化劑。在許多實施例中,對於300 mm晶圓HFRF功率可介於每站約125 W與約1500 W之間。舉例來說,HFRF功率可約為每站625 W。電漿可加以起始約0.25秒與約3秒之間的時間,或約0.5秒。操作1907至1911可進行於:介於約50 ℃與約400 ℃之間的溫度,或介於約50 ℃與約200 ℃之間的溫度,或約150 ℃的溫度;以及介於約3托與約3.5 托之間的壓力。在操作1911之後,電漿可關閉,且腔室可加以沖洗或抽至基底。在操作1901、1903、1905、1907、1909、及1911之後使用的例示沖洗氣體,可為氬(Ar)或氮(N2 )或任何其他適合的沖洗氣體。在一些實施例中,在操作1901至1905中所沉積的膜密度,可與在操作1907至1911中所沉積的膜相比較不緻密。
根據各種實施例,操作1901至1905可與操作1907至1911相比在較低溫度、及/或較低RF功率、及/或較短RF時間、及/或較低壓力、及/或使用較弱氧化劑而加以執行。這些沉積條件可協助防止損傷下層基板且產生一可運作的元件。
圖20A提供根據各種實施例使用奈米層疊層之方法的製程流程圖。在操作2001中,一核心層可加以沉積,例如就圖1所述者。該核心層可為非晶碳層或非晶矽層,或可為光阻。在一些實施例中,核心層係加以圖案化。在操作2003中,奈米層疊層係沉積在核心層上。奈米層疊層可藉由CFD或PEALD方法加以沉積。奈米層疊層可使用以上就圖19所述操作1901-1905加以沉積。在一些實施例中,奈米層疊層可為矽氧化物(SiO2 )或鈦氧化物(TiO2 )。所沉積奈米層疊層的厚度可介於約15 Å與約200 Å之間、或介於約15 Å與約100 Å之間。在操作2005中,一金屬氮化物或金屬氧化物層可沉積在奈米層疊層上。該金屬氮化物或金屬氧化物層可使用關於以上圖19之操作1907至1911加以沉積。在一些實施例中,該金屬氮化物或金屬氧化物層係矽氧化物或鈦氧化物層。在一些實施例中,該金屬氮化物或金屬氧化物層係高品質鈦氧化物層。在各種實施例中,該金屬氮化物或金屬氧化物層相對於核心具有高蝕刻選擇性。在許多實施例中,金屬氮化物或金屬氧化物層係較奈米層疊層緻密。
圖20B係根據各種實施例使用奈米層疊層之方法範例的製程流程圖。在操作2011中,一薄的奈米層疊層係沉積在基板上。一奈米層疊層可為以上就圖13及20A所探討之任一者。奈米層疊層可使用關於以上圖19之操作1901-1905加以沉積。在操作2013中,鈦氧化物層可沉積在奈米層疊層上。沉積在奈米層疊層上的鈦氧化物層的例子係以上就圖14加以描述。鈦氧化物層可使用關於以上圖19之操作1907至操作1911加以沉積。設備
此處所提供沉積技術可實現在電漿輔助化學汽相沉積(PECVD)反應器或保形膜沉積(CFD)反應器之中。此反應器可為多種型式,且可為一設備的部分,該設備包含較多個腔室或「反應器」(有時包含多個站),其可各自容納一片以上晶圓且可用以執行各種晶圓處理操作。該一個以上腔室可維持晶圓於一個以上定義位置(在於此位置運動或不運動(例如轉動、振動、或其他擾動)的情況下)。在一個實施方式中,承受膜沉積之晶圓,在製程期間,可自反應器腔室內的一站轉移至另一站。在其他實施方式中,晶圓可於設備內於腔室間轉移,以執行不同的操作,例如蝕刻操作或微影操作。整個膜沉積可全部發生於單一站或對於任何沉積步驟進行總膜厚度的任何分率。在製程期間,各晶圓可藉由支座、晶圓夾頭、及/或其他晶圓固持設備,固持於適當位置。對於其中加熱晶圓的若干操作,該設備可包含一加熱器,例如一加熱板。由Fremont, CA的Lam Research Corp.所製造的VectroTM (例如C3 Vector)或SequelTM (例如C2 Sequel),皆為可用以實施此處所述技術的適合反應器之範例。
圖21提供一簡單方塊圖,描繪配置用於實現此處所述方法的各種反應器元件。如所顯示,反應器2100包含一製程腔室2124,其包圍反應器的其他元件且用以容納由一電容放電式系統所產生的電漿,該電容放電式系統包含一噴淋頭2114,其與接地加熱塊2120結合而運作。高頻(HF)射頻(RF)產生器2104及低頻(LF)RF產生器2102可連接至匹配網路2106及噴淋頭2114。由匹配網路2106所提供的功率及頻率,可足以自供應至製程腔室2124之製程氣體產生電漿。舉例來說,匹配網路2106可提供50 W至500 W的HFRF功率。在一些範例中,匹配網路2106可提供100 W至5000 W的HFRF功率以及100 W至5000 W的LFRF功率之總能量。在典型製程中,HFRF分量可通常介於5 MHz至60 MHz之間,例如13.56 MHz。在具有LF分量的操作中,LF分量可為約100 kHz至2 MHz,例如430 kHz。
在反應器內,一晶圓支座2118可支撐一基板2116。晶圓支座2118可包含夾頭、叉、或升降銷(未顯示),用以在沉積及/或電漿處理反應期間及之間固持及搬運基板。該夾頭可為靜電夾頭、機械式夾頭、或可用於工業和/或研究的各種其他類型夾頭。
各種製程氣體可經由入口2112導入。多條來源氣體管線2110係連接至歧管2108。氣體可加以預先混合或不預先混合。可使用適當的閥調及質量流量控制機構,以確保在製程的沉積及電漿處理階段期間輸送正確的製程氣體。在化學先質以液態型式輸送的情況下,液體流量控制機構可加以使用。此等液體可接著加以汽化,且在抵達沉積腔室之前於一歧管在輸送期間與製程氣體混合,該歧管係加熱至高於以液體型式供應之該化學先質的汽化點。
製程氣體可經由出口2122離開腔室2124。可使用一真空泵,例如一或二階機械乾式泵及/或渦輪分子泵2140,將製程氣體抽出製程腔室2124,且藉由使用一封閉迴路受控流量限制裝置(例如節流閥或鐘擺閥)在製程腔室2124之內維持適合的低壓。
如以上所探討,此處所探討用於沉積的技術,可實現在一多站式機台或單站式機台上。在特定實施方式中,可使用具有4站沉積方案的300 mm的Lam VectroTM 機台或具有6站沉積方案的200 mm的SequelTM 機台。在一些實施方式中,可使用處理450 mm晶圓之機台。在各種實施方式中,晶圓可在每次沉積及/或沉積後電漿處理之後加以分度;或者,若蝕刻腔室或站亦為相同機台的部分,晶圓可在蝕刻步驟之後加以分度;或者,多個沉積及處理可在分度晶圓之前於單一站執行。
在一些實施例中,可設置一設備,用以執行此處所述技術。適合的設備可包含用於執行各種製程操作的硬體,以及一系統控制器2130,其具有用於根據所揭露實施例控制製程操作的指令。系統控制器2130通常包含一個以上記憶體裝置,以及一個以上處理器,其通訊連接至各種製程控制裝置,例如閥、RF產生器、晶圓搬運系統等等,且用以執行指令,使得該設備執行根據所述實施例的技術,例如提供於圖19沉積步驟中的技術。含有根據本揭露內容控制製程操作之指令的機器可讀媒體,可連接至系統控制器2130。控制器2130可通訊連接各種硬體裝置,例如質量流量控制器、閥、RF產生器、真空泵等等,以協助與如此處所述沉積操作相關聯的各種製程參數的控制。
在一些實施例中,一系統控制器2130可控制反應器2100的所有活動。系統控制器2130可執行系統控制軟體,該軟體係儲存在大容量儲存裝置,載入記憶體裝置,且在處理器上執行。該系統控制軟體可包含用於控制氣流時序、晶圓移動、RF產生器活動等等的指令,以及控制氣體混合物、腔室及/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板支座、夾頭、及/或接受器位置、以及反應器2100執行之特定製程的其他參數的指令。系統控制軟體可以任何適合方式設定。舉例來說,各種製程機台元件子程式或控制物件可加以撰寫,以控制執行各種製程機台製程所需的製程機台元件的操作。系統控制軟體可以任何適合的電腦可讀程式語言編碼。
系統控制器2130通常可包含一個以上記憶體裝置及一個以上處理器,該處理器用以執行指令,使得該設備執行根據本揭露內容的技術。含有用於根據所揭露實施例控制製程操作之指令的機器可讀媒體,可連接至系統控制器2130。
此處所揭露方法和設備可與微影圖案化機台或製程(例如以下所述用於半導體元件、顯示器、LED、光伏面板等之製造或生產者)結合使用。通常,雖非必然,此等機台/製程可在一共同的製造設施中一起加以使用或執行。膜的微影圖案化通常包含一些或全部以下步驟,每一步驟係以若干個可能的機台進行:(1)利用旋塗或噴塗機台,塗佈光阻於工件(即基板或如所揭露實施例提供的多層堆疊)之上;(2)使用一熱板或爐或UV固化機台固化光阻;(3)使用例如晶圓步進器之機台,將光阻暴露於可見光或UV或x光;(4)使用例如濕台之機台,將光阻顯影以選擇性移除光阻且藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台,例如以下所述者,將光阻圖案轉移至下層膜或工件之中;及(6)使用例如RF或微波電漿光阻剝除器之機台,將光阻移除。在一個實施方式中,晶圓上的一個以上間隙特徵部係使用此處所述技術填充以碳膜。該碳膜可接著用於例如此處所述目的其中一者。此外,該實施方式可包含上述步驟(1)至(6)其中一者以上。
一個以上製程站可包含於一多站式處理機台之中。圖22顯示一多站式處理機台2200實施例的示意圖,該處理機台2200具有一入站負載鎖室(load lock)2202和一出站負載鎖室2204,其任一者或二者可包含一遠程電漿源。在大氣壓力下的一機器人2206係用以將晶圓自透過一晶圓盒2208裝載的卡匣,經由一大氣埠2210,移動至入站負載鎖室2202之中。一晶圓係藉由機器人2206置放到入站負載鎖室2202之中的支座2212之上,大氣埠2210可加以關閉,且接著負載鎖室可加以抽真空。在入站負載鎖室2202包含一遠程電漿源的情況下,晶圓可在導入處理腔室2214之前暴露於在負載鎖室之中的遠程電漿處理。此外,舉例來說,晶圓亦可在入站負載鎖室2202之中加熱,以移除濕氣和吸附的氣體。接下來,可將通至處理腔室2214的一腔室搬運埠2216開啟,且另一機器人(未顯示)將晶圓置放進反應器而在此反應器中所顯示的一第一站的支座之上以進行處理。雖然所示實施例包含負載鎖室,吾人將了解在若干實施例中可使晶圓直接進入一製程站。
所述處理腔室2214包含四個製程站,在圖22所示實施例中編號為1至4。各站具有一加熱的支座(對於站1顯示為2218),以及氣體管線入口。吾人將了解,在若干實施例中,各製程站可具有不同的或多個目的。例如,在若干實施例中,一製程站可在CFD和PECVD製程模式之間切換。額外地或替代地,在若干實施例中,處理腔室2214可包含匹配的一對以上CFD和PECVD製程站。雖然所述處理腔室2214包含四個站,吾人將理解根據本揭露內容的一處理腔室可具有任何適合數量的站。舉例來說,在若干實施方式中,一處理腔室可具有五個以上的站,而在其他實施例中一個處理腔室可具有三個以下的站。
圖22亦描述一晶圓搬運系統2290的實施例,晶圓搬運系統2290用於在處理腔室2214之內搬送晶圓。在若干實施例中,晶圓搬運系統2290可在各種製程站之間及/或在一製程站和一負載鎖室之間搬送晶圓。吾人將了解可使用任何適合的晶圓搬運系統。非限定的範例包含晶圓轉盤(wafer carousel)和晶圓搬運機器人。圖22亦描述一系統控制器2250的實施例,用以控制處理機台2200的製程條件和硬體狀態。系統控制器2250可包含一個以上記憶體裝置2256、一個以上大量儲存裝置2254、及一個以上處理器2252。處理器2252可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
在一些實施例中,系統控制器2250控制處理機台2200的所有活動。系統控制器2250執行系統控制軟體2258,其儲存於大量儲存裝置2254之中,載入記憶體裝置2256,且執行於處理器2252。或者是,控制邏輯可加以硬編碼於控制器2250之中。特定應用積體電路、可程式邏輯元件(例如現場可程式閘陣列或FPGA)等等可用於這些目的。在以下探討中,無論何處使用「軟體」或「程式碼」,功能可比擬之硬編碼邏輯可替代使用。系統控制軟體2258可包含指令,用於控制時序、氣體混合物、腔室和/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板支座、夾頭和/或接受器位置、及由處理機台2200所執行的特定製程的其他參數。系統控制軟體2258可以任何適合方式加以建構。例如,可撰寫各種製程機台元件子程式或控制物件,以控制執行各種製程機台製程所需的製程機台元件的操作。系統控制軟體2258可以任何適合的電腦可讀程式語言編碼。
在若干實施例中,系統控制軟體2258可包含輸入/輸出控制(IOC)序列指令,用於控制各種上述參數。例如,CFD製程的各階段可包含一個以上指令,以供系統控制器2250執行。用於設定CFD製程階段的製程條件的指令,可包含於對應的CFD配方階段。在若干實施例中,該CFD配方階段可循序配置,使得CFD製程階段的所有指令係與該製程階段同時執行。
關聯於系統控制器2250儲存於大量儲存裝置2254及/或記憶體裝置2256的其他電腦軟體及/或程式,可在一些實施例中加以使用。用於此目的之程式或程式片段的例子,包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以裝載基板至支座2218之上及控制介於基板和處理機台2200的其他部件之間的間距的處理機台元件的程式碼。
製程氣體控制程式可包含程式碼,用於控制氣體成分和流率,且選擇性地在沉積之前將氣體流入一個以上製程站以穩定在製程站之中的壓力。在一些實施例中,控制器包含指令,用於在一核心層上沉積一奈米層疊保護層,以及在該保護層上沉積一保形層。
壓力控制程式可包含程式碼,用於藉由調節(舉例來說,在製程站排氣系統中的節流閥)進入製程站的氣流而控制製程站中的壓力。在一些實施例中,控制器包含指令,用於在一核心層上沉積一奈米層疊保護層,以及在該保護層上沉積一保形層。
加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。或者是,加熱器控制程式可控制對基板之傳熱氣體(例如氦)輸送。在若干實施方式中,控制器包含指令,用於在第一溫度沉積一奈米層疊保護層,且在第二溫度於該保護層上沉積一保形層,其中第二溫度係高於第一溫度。
電漿控制程式可包含程式碼,用於根據此處實施例設定在一個以上製程站之中的RF功率位準及暴露時間。在若干實施例中,控制器包含指令,用於以第一RF功率位準及RF持續時間沉積一奈米層疊保護層,且以第二RF功率位準及RF持續時間於該保護層上沉積一保形層。該第二RF功率位準及/或第二RF持續時間可較該第一RF功率位準/持續時間為高/長。
在若干實施例中,可具有與系統控制器2250相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
在若干實施例中,由系統控制器2250所調整的參數可關於製程條件。非限定範例包含製程氣體成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準及暴露時間)等等。這些參數可以配方形式提供給使用者,該配方可利用使用者介面加以輸入。
監測製程的訊號可從各種製程機台感測器藉由系統控制器2250的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理機台2200的類比和數位輸出連接部加以輸出。可加以監測的製程機台感測器的非限定例子包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化的回授和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器2250可提供程式指令,以實施上述沉積製程。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。此等指令可控制該等參數,以根據此處所述各種實施例操作膜堆疊的原位沉積。
系統控制器通常包含一個以上記憶體裝置和用以執行指令的一個以上處理器,俾使此設備執行根據所揭露實施例的方法。含有用於根據所揭露實施例控制製程操作的指令的機器可讀非暫時性媒體可連接至該系統控制器。實驗 實驗 1
進行實驗,以比較在未受保護核心上所沉積的間隔部或保形膜與在由奈米層疊保護層所保護的核心上所沉積者。在第一試驗中,提供一基板,其具有由非晶碳構成之圖案化核心層。高品質鈦氧化物係藉由電漿輔助原子層沉積(PEALD)或保形膜沉積(CFD)方法直接沉積在該核心層上。腔室壓力係約3托且溫度約150 ℃。基板暴露於第一先質(TDMAT)2秒,接著進行10秒的沖洗。基板接著在30秒的暴露操作中暴露於N2 O/O2 氧化劑混合物,其中開始的25秒僅流入載體氣體N2 (流率上達9500 sccm),而在最後的5秒,開啟N2 O/O2 流動且維持開啟直到電漿起始0.5秒。起始電漿係使用每站625 W的高頻射頻(HFRF)功率,或四站2500 W。在0.5秒電漿暴露後,將N2 O/O2 流動及電漿同時關閉,且最後將腔室抽至基底以淨化腔室。所沉積保形膜及下層核心層的影像係顯示於圖23A中。劣化的核心層2304a係顯示於鈦氧化物(TiO2 )保形膜層2302a的下方。
在第二試驗中,亦提供一基板,其具有由非晶碳構成之圖案化核心層。矽氧化物(SiO2 )奈米層疊保護層係藉由PEALD沉積在該核心層上。沉積腔室溫度係50 ℃,且腔室壓力係1.8托。基板係暴露於含矽先質0.2秒,接著進行0.2秒的沖洗。基板接著在一0.3秒的第二暴露操作中暴露於N2 O/O2 氧化劑混合物。電漿對於四站式腔室以1000 W加以起始,或每站約500 W。將N2 O/O2 流動及電漿關閉,且將腔室加以沖洗。隨後,基板暴露於TDMAT 2秒,接著進行10秒的沖洗。在沖洗之後,基板在30秒的第二暴露操作中暴露於氧化劑N2 O/O2 ,使得該混合物僅在最後的5秒流入,且於該30秒之後在每站625 W或四站2500 W起始電漿0.5秒時持續流動0.5秒。在電漿與氧化劑N2 O/O2 皆關閉之後,將腔室加以再度沖洗。所沉積保形膜、奈米層疊層、及下方核心層的影像係顯示於圖23B。如所顯示,所沉積保形膜2302b係沉積在奈米層疊層2306上方,奈米層疊層2306係如此薄使得它與保形膜及其相鄰的核心層無法區分。要注意到,與圖23A相比,核心芯軸2304b具有非常少的劣化或耗損。
在第三試驗中,亦提供一基板,其具有由非晶碳構成之圖案化核心層。鈦氧化物(TiO2 )奈米層疊保護層係藉由PEALD沉積在該核心層上。沉積腔室溫度係150 ℃,且腔室壓力係3托。基板係暴露於TDMAT 2秒,接著進行10秒的沖洗。基板接著在一30秒的第二暴露操作中暴露於N2 O/O2 氧化劑混合物,使得該混合物僅在最後的5秒流入,且在該30秒後,在對於四站式腔室以1000 W或每站約500 W起始電漿時持續流入0.5秒 。將N2 O/O2 流動及電漿關閉,且將腔室加以沖洗。隨後,基板暴露於TDMAT 2秒,接著進行10秒的沖洗。在沖洗之後,基板在30秒的第二暴露操作中暴露於N2 O/O2 氧化劑,使得該混合物僅在最後的5秒流入,且在該30秒後,在以每站625 W或四站2500 W起始電漿0.5秒時持續流動0.5秒。在電漿與氧化劑N2 O/O2 皆關閉之後,將腔室加以再度沖洗。所沉積保形膜、奈米層疊層、及下方核心層的影像係顯示於圖23C。如所顯示,所沉積保形膜2302c係沉積在奈米層疊層2308上方,奈米層疊層2308亦係如此薄使得它與保形膜及其相鄰的核心層無法區分。要注意到,核心芯軸2304c具有非常少的劣化或耗損。實驗 2
另一系列的實驗係利用與就以上三個試驗所述相同條件但針對不具特徵部之空白基板而加以進行。在各試驗中,量測非晶碳層厚度,接著沉積間隔部且量測間隔部厚度,且最後量測間隔部沉積之後剩餘非晶碳層的厚度。碳耗損係藉由自間隔部沉積前的厚度減去間隔部沉積之後的厚度而加以計算。此系列實驗的結果顯示於表1。
如表中所顯示,奈米層疊層的存在,大幅降低碳耗損。在沒有奈米層疊層的情況下,碳耗損為15.4 nm,而對於SiO2 及TiO2 奈米層疊層二者,碳耗損僅約8 nm。因此,沉積奈米層疊層在非晶碳層之上,特別是在圖案化的非晶碳層之上,在沉積間隔部時保護非晶碳層,且因此對於間隔部沉積可沉積較高品質的膜。總結
雖然為了清楚理解已相當詳細描述前述實施例,吾人明白在隨附申請專利範圍的範疇內可實施若干變化及修飾。應注意到,有許多替代方式實現本案實施例的製程、系統、及設備。因此,本案實施例係視為說明性而非限制性,且此等實施例不限定於此處提供的細節。
103‧‧‧第一核心
104‧‧‧硬遮罩(蓋層)
105‧‧‧第二核心層
105’‧‧‧第二核心
107‧‧‧層
107a‧‧‧硬遮罩層(蝕刻終止層)
107b‧‧‧蓋層
107c‧‧‧遮罩層
107c’‧‧‧遮罩
109‧‧‧保形膜
109’‧‧‧間隔部
111‧‧‧奈米層疊(保護)層
119‧‧‧保形膜
119’‧‧‧間隔部
129‧‧‧保形膜
129’‧‧‧間隔部
150‧‧‧目標層
2100‧‧‧反應器
2102‧‧‧低頻RF產生器
2104‧‧‧高頻射頻產生器
2106‧‧‧匹配網路
2108‧‧‧歧管
2110‧‧‧氣體管線
2112‧‧‧入口
2114‧‧‧噴淋頭
2116‧‧‧基板
2118‧‧‧晶圓支座
2120‧‧‧加熱塊
2122‧‧‧出口
2124‧‧‧製程腔室
2130‧‧‧系統控制器
2140‧‧‧泵
2200‧‧‧處理機台
2202‧‧‧入站負載鎖室
2204‧‧‧出站負載鎖室
2206‧‧‧機器人
2208‧‧‧晶圓盒
2210‧‧‧大氣埠
2212‧‧‧支座
2214‧‧‧處理腔室
2216‧‧‧腔室搬運埠
2218‧‧‧支座
2250‧‧‧系統控制器
2252‧‧‧處理器
2254‧‧‧大量儲存裝置
2256‧‧‧記憶體裝置
2258‧‧‧系統控制軟體
2290‧‧‧晶圓搬運系統
2302a‧‧‧保形膜層
2302b‧‧‧保形膜
2302c‧‧‧保形膜
2304a‧‧‧核心層
2304b‧‧‧核心芯軸
2304c‧‧‧核心芯軸
2306‧‧‧奈米層疊層
2308‧‧‧奈米層疊層
圖1-11係根據所揭露實施例之積體方式的示意圖。
圖12-18係根據所揭露實施例之積體方式的示意圖。
圖19係根據所揭露實施例之方法的製程流程圖。
圖20A及20B係根據所揭露實施例的方法的製程流程圖。
圖21係一反應腔室的圖示,該反應腔室用於執行根據所揭露實施例的方法。
圖22係一多站式設備的圖示,其可用於根據所揭露實施例執行操作。
圖23A-23C係所沉積膜的影像,取自執行根據所揭露實施例之方法的實驗。
105’‧‧‧第二核心
107a‧‧‧硬遮罩層(蝕刻終止層)
107b‧‧‧蓋層
107c‧‧‧遮罩層
111‧‧‧奈米層疊保護層
129‧‧‧保形膜
150‧‧‧目標層

Claims (29)

  1. 一種半導體基板處理方法,該方法包含:   在基板上沉積一奈米層疊層,及   在該奈米層疊層上沉積一鈦氧化物層,該奈米層疊層具有介於約15 Å與約200 Å之間的厚度以及低於該鈦氧化物層密度的密度。
  2. 如申請專利範圍第1項的半導體基板處理方法,更包含沉積一非晶碳層,其中該奈米層疊層係沉積在該非晶碳層之上。
  3. 如申請專利範圍第2項的半導體基板處理方法,其中該非晶碳層係圖案化的。
  4. 如申請專利範圍第1-3項其中任一者的半導體基板處理方法,其中該奈米層疊層包含一堆疊,該堆疊包含二層以上的子層。
  5. 如申請專利範圍第4項的半導體基板處理方法,其中該二層以上的子層各自包含矽氧化物、或鈦氧化物、或其組合。
  6. 如申請專利範圍第4項的半導體基板處理方法,其中該堆疊包含不超過二層的子層。
  7. 如申請專利範圍第6項的半導體基板處理方法,其中該奈米層疊層包含矽氧化物第一子層及鈦氧化物第二子層。
  8. 如申請專利範圍第1-3項其中任一者的半導體基板處理方法,其中該奈米層疊層包含矽氧化物或鈦氧化物。
  9. 如申請專利範圍第1-3項其中任一者的半導體基板處理方法,其中該奈米層疊層係使用電漿輔助原子層沉積法(PEALD)加以沉積,其係藉由以下步驟進行:   暴露該基板於一含鈦先質或一含矽先質;   暴露該基板於一氧化劑;及   在該基板暴露於該氧化劑之時,啟動電漿。
  10. 如申請專利範圍第9項的半導體基板處理方法,其中該奈米層疊層係在介於約50 ℃與約150 ℃之間的溫度下加以沉積,且電漿係在介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積高頻射頻(HFRF)功率下加以啟動。
  11. 如申請專利範圍第9項的半導體基板處理方法,其中該奈米層疊層係在低於約100 ℃的溫度下加以沉積。
  12. 如申請專利範圍第9項的半導體基板處理方法,其中該含鈦先質包含TDMAT。
  13. 如申請專利範圍第1-3項其中任一者的半導體基板處理方法,其中該鈦氧化物層係藉由PEALD加以沉積,其係藉由以下步驟進行:   暴露該基板於一含鈦先質;   暴露該基板於一氧化劑;及   在至少約每平方公厘1.768 × 10-3 W的每平方公厘基板面積HFRF功率下,在該基板暴露於該氧化劑的同時,啟動電漿。
  14. 如申請專利範圍第13項的半導體基板處理方法,其中該氧化劑包含氧化亞氮、或氧、或二氧化碳、或其混合物。
  15. 如申請專利範圍第13項的半導體基板處理方法,其中該含鈦先質包含TDMAT。
  16. 如申請專利範圍第13項的半導體基板處理方法,其中該鈦氧化物層係在介於約3托與約3.5托之間的壓力下加以沉積。
  17. 如申請專利範圍第13項的半導體基板處理方法,其中該鈦氧化物層係在介於約50 ℃與約400 ℃之間的溫度下加以沉積。
  18. 一種半導體基板處理方法,該方法包含:   沉積一核心層;   在該核心層上沉積一奈米層疊層;及   在該奈米層疊層上沉積一金屬氮化物或金屬氧化物層。
  19. 如申請專利範圍第18項的半導體基板處理方法,其中該金屬氮化物或金屬氧化物層係相對於核心具有蝕刻選擇性。
  20. 一種半導體基板處理方法,該方法包含:   (a)暴露基板於一第一含鈦先質或一含矽先質;   (b)暴露該基板於一第一氧化劑;   (c)以介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積HFRF功率,在該基板暴露於該第一氧化劑時,啟動第一電漿;   (d)暴露該基板於一第二含鈦先質;   (e)暴露該基板於一第二氧化劑;及   (f)以至少每平方公厘約1.768 × 10-3 W的每平方公厘基板面積HFRF功率,在該基板暴露於該第二氧化劑時,啟動第二電漿。
  21. 一種半導體基板圖案化方法,該方法包含:   在沉積保形膜於一核心層上之前,在一圖案化的核心層上沉積一奈米層疊保護層;   在該奈米層疊保護層上沉積一保形膜;   平坦化該保形膜以暴露核心;及   選擇性蝕刻該核心以形成遮罩。
  22. 如申請專利範圍第21項的半導體基板圖案化方法,其中該核心層包含非晶碳。
  23. 如申請專利範圍第21項的半導體基板圖案化方法,其中該奈米層疊保護層包含矽氧化物或鈦氧化物。
  24. 如申請專利範圍第21-23項其中任一者的半導體基板圖案化方法,其中該奈米層疊保護層的厚度係介於約15 Å與約200 Å之間。
  25. 如申請專利範圍第21-23項其中任一者的半導體基板圖案化方法,其中該奈米層疊保護層係使用PEALD加以沉積,其係藉由以下步驟:暴露該基板於一含鈦先質或一含矽先質;暴露該基板於一氧化劑;及在該基板暴露於該氧化劑時啟動第一電漿。
  26. 如申請專利範圍第25項的半導體基板圖案化方法,其中該奈米層疊保護層係在低於約100 ℃的溫度下加以沉積。
  27. 如申請專利範圍第25項的半導體基板圖案化方法,其中該奈米層疊保護層係在介於約50 ℃與約150 ℃之間的溫度下加以沉積,且該第一電漿係在介於每平方公厘約1.768 × 10-4 W與約1.768 × 10-3 W之間的每平方公厘基板面積HFRF功率下加以啟動。
  28. 如申請專利範圍第25項的半導體基板圖案化方法,其中該保形膜係使用PEALD加以沉積,其係藉由:暴露該基板於一含鈦先質;暴露該基板於一氧化劑;及在至少每平方公厘約1.768 × 10-3 W的每平方公厘基板面積HFRF功率下,在該基板暴露於該氧化劑的同時,啟動第二電漿。
  29. 一種半導體基板處理設備,包含:   一個以上製程腔室;   進入該等製程腔室的一個以上氣體入口和相關聯的流量控制硬體;   一HFRF產生器;及   一控制器,具有至少一處理器及一記憶體,其中             該至少一處理器及該記憶體係彼此通訊連接,        該至少一處理器係至少操作性連接該流量控制硬體及HFRF產生器,          該記憶體儲存電腦可執行指令,用於:       暴露基板於一含金屬先質;       暴露該基板於一第一氧化劑;      以介於約12.5 W與125 W之間的HFRF功率,在該基板暴露於該第一氧化劑時,啟動第一電漿;       暴露該基板於一含鈦先質;       暴露該基板於一第二氧化劑;及               以至少約125 W的HFRF功率,在該基板暴露於該第二氧化劑時,啟動第二電漿。
TW103138602A 2013-11-07 2014-11-06 用於進階圖案化之軟著陸奈米層 TWI640469B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/074,617 2013-11-07
US14/074,617 US9287113B2 (en) 2012-11-08 2013-11-07 Methods for depositing films on sensitive substrates
US14/194,324 2014-02-28
US14/194,324 US9390909B2 (en) 2013-11-07 2014-02-28 Soft landing nanolaminates for advanced patterning

Publications (2)

Publication Number Publication Date
TW201534556A true TW201534556A (zh) 2015-09-16
TWI640469B TWI640469B (zh) 2018-11-11

Family

ID=53007347

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103138602A TWI640469B (zh) 2013-11-07 2014-11-06 用於進階圖案化之軟著陸奈米層
TW107127482A TWI675797B (zh) 2013-11-07 2014-11-06 用於進階圖案化之軟著陸奈米層

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107127482A TWI675797B (zh) 2013-11-07 2014-11-06 用於進階圖案化之軟著陸奈米層

Country Status (5)

Country Link
US (3) US9390909B2 (zh)
JP (1) JP2015111668A (zh)
KR (2) KR102407002B1 (zh)
CN (2) CN104752199A (zh)
TW (2) TWI640469B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI647745B (zh) * 2016-09-26 2019-01-11 日商國際電氣股份有限公司 半導體裝置之製造方法、記錄媒體及基板處理裝置
TWI752338B (zh) * 2018-08-01 2022-01-11 美商應用材料股份有限公司 用於dram sti 主動切割圖案化的多色方法
TWI770310B (zh) * 2017-12-05 2022-07-11 荷蘭商Asm智慧財產控股公司 用於間隔件界定圖案化之垂直間隔件的形成方法

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
EP3101682A1 (en) * 2015-06-03 2016-12-07 IMEC vzw Method for providing a patterned target layer in a semiconductor structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016107A (ko) * 2015-08-03 2017-02-13 삼성전자주식회사 반도체 장치 제조 방법
US9540729B1 (en) * 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
CN108780735B (zh) * 2016-03-13 2023-04-21 应用材料公司 用于间隔件应用的氮化硅膜的选择性沉积
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
JP6815158B2 (ja) * 2016-10-13 2021-01-20 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102216380B1 (ko) * 2016-12-08 2021-02-17 주식회사 원익아이피에스 반도체 소자의 패터닝 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101900181B1 (ko) * 2017-01-25 2018-09-18 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10622266B2 (en) * 2017-04-04 2020-04-14 Globalfoundries Inc. Methods of identifying space within integrated circuit structure as mandrel space or non-mandrel space
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
JP7018729B2 (ja) 2017-09-19 2022-02-14 東京エレクトロン株式会社 成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN111771264A (zh) 2018-01-30 2020-10-13 朗姆研究公司 在图案化中的氧化锡心轴
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019168535A1 (en) * 2018-03-01 2019-09-06 Lam Research Corporation Silicon-based deposition for semiconductor processing
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109273378B (zh) * 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
CN110707004B (zh) * 2018-10-11 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP2020063470A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11587782B2 (en) * 2018-11-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US10978307B2 (en) 2019-08-20 2021-04-13 Tokyo Electron Limited Deposition process
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039194A (ko) * 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11862602B2 (en) * 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20230041972A (ko) * 2020-07-23 2023-03-27 램 리써치 코포레이션 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113517181A (zh) * 2021-04-27 2021-10-19 长江先进存储产业创新中心有限责任公司 一种硬掩膜叠层结构及半导体器件的形成方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3378508D1 (en) 1982-09-10 1988-12-22 Nippon Telegraph & Telephone Plasma deposition method and apparatus
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6094757A (ja) 1983-10-20 1985-05-27 Fujitsu Ltd 抵抗体
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5514908A (en) 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
AU4001395A (en) 1994-10-11 1996-05-06 Gelest, Inc. Conformal titanium-based films and method for their preparation
CN1075243C (zh) 1994-12-28 2001-11-21 松下电器产业株式会社 集成电路用电容元件及其制造方法
US5700519A (en) 1995-01-06 1997-12-23 Sony Corporation Method for producing ultra high purity titanium films
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5994220A (en) 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6054382A (en) 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
KR100273989B1 (ko) 1997-11-25 2001-01-15 윤종용 반도체장치의콘택형성방법
KR20010032498A (ko) 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6093966A (en) 1998-03-20 2000-07-25 Motorola, Inc. Semiconductor device with a copper barrier layer and formation thereof
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010042649A (ko) 1999-02-12 2001-05-25 베리 아이클스 텅스텐 질화물의 화학기상증착
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6350688B1 (en) 2000-08-01 2002-02-26 Taiwan Semiconductor Manufacturing Company Via RC improvement for copper damascene and beyond technology
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6566246B1 (en) 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP2004039699A (ja) 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6716753B1 (en) 2002-07-29 2004-04-06 Taiwan Semiconductor Manufacturing Company Method for forming a self-passivated copper interconnect structure
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6841044B1 (en) 2002-08-28 2005-01-11 Novellus Systems, Inc. Chemically-enhanced physical vapor deposition
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050048788A1 (en) * 2003-08-26 2005-03-03 Tang Woody K. Sattayapiwat Methods of reducing or removing micromasking residue prior to metal etch using oxide hardmask
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
KR100599434B1 (ko) 2003-10-20 2006-07-14 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4506951B2 (ja) * 2004-04-23 2010-07-21 セイコーエプソン株式会社 Mfs型電界効果トランジスタ、強誘電体メモリならびに半導体装置
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7651568B2 (en) 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP2009507752A (ja) 2005-09-09 2009-02-26 ユニバーシティ・オブ・ネバダ・リノ 酸素空孔を有するナノチューブ状チタニア基材の製造及び水の光電気分解におけるそれらの使用
WO2007034391A2 (en) 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101416293B (zh) 2006-03-31 2011-04-20 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
CN101345191A (zh) * 2007-07-10 2009-01-14 力晶半导体股份有限公司 光致抗蚀剂层的重工方法与图案化工艺
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
JP2009141227A (ja) * 2007-12-08 2009-06-25 Tokyo Electron Ltd チタン膜の成膜方法及びチタン膜の成膜装置
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2009091311A1 (en) 2008-01-14 2009-07-23 Nanexa Ab A biocompatible filter member for body fluid dialysis and fabrication and use thereof
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090305506A1 (en) * 2008-06-09 2009-12-10 Joerg Linz Self-aligned dual patterning integration scheme
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100048025A1 (en) * 2008-08-25 2010-02-25 Seoul National University Industry Foundation Nanostructures and nanostructure fabrication
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8084310B2 (en) * 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
JP2012506947A (ja) 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド 三元化合物の気相堆積方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5356516B2 (ja) * 2009-05-20 2013-12-04 株式会社東芝 凹凸パターン形成方法
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5075897B2 (ja) * 2009-09-25 2012-11-21 株式会社東芝 半導体装置の製造方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
CN102652353B (zh) 2009-12-09 2016-12-07 诺发系统有限公司 新颖间隙填充整合
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP4733214B1 (ja) * 2010-04-02 2011-07-27 東京エレクトロン株式会社 マスクパターンの形成方法及び半導体装置の製造方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
CN102934203B (zh) 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5743488B2 (ja) * 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
KR20200039806A (ko) 2010-11-10 2020-04-16 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130045908A1 (en) * 2011-08-15 2013-02-21 Hua Cui Method and composition for removing resist, etch residue, and copper oxide from substrates having copper, metal hardmask and low-k dielectric material
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
KR101901320B1 (ko) * 2012-05-22 2018-09-21 삼성전자주식회사 발광소자 및 그 제조방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI647745B (zh) * 2016-09-26 2019-01-11 日商國際電氣股份有限公司 半導體裝置之製造方法、記錄媒體及基板處理裝置
TWI770310B (zh) * 2017-12-05 2022-07-11 荷蘭商Asm智慧財產控股公司 用於間隔件界定圖案化之垂直間隔件的形成方法
TWI752338B (zh) * 2018-08-01 2022-01-11 美商應用材料股份有限公司 用於dram sti 主動切割圖案化的多色方法

Also Published As

Publication number Publication date
US10192742B2 (en) 2019-01-29
KR102551503B1 (ko) 2023-07-05
US20180158683A1 (en) 2018-06-07
TWI640469B (zh) 2018-11-11
US20150126042A1 (en) 2015-05-07
TW201840463A (zh) 2018-11-16
KR20150053253A (ko) 2015-05-15
US9905423B2 (en) 2018-02-27
TWI675797B (zh) 2019-11-01
US9390909B2 (en) 2016-07-12
KR20220082792A (ko) 2022-06-17
CN111501013A (zh) 2020-08-07
KR102407002B1 (ko) 2022-06-08
CN104752199A (zh) 2015-07-01
US20160293418A1 (en) 2016-10-06
JP2015111668A (ja) 2015-06-18

Similar Documents

Publication Publication Date Title
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
KR102455124B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107346745B (zh) 封装方法
US9892917B2 (en) Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US9385318B1 (en) Method to integrate a halide-containing ALD film on sensitive materials
TW201834008A (zh) 用於高模數原子層沉積二氧化矽間隔件的方法
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
TW201833992A (zh) 以原子層沉積間隙填充間隔件遮罩進行的自對準多重圖案化製程流程
US10446394B2 (en) Spacer profile control using atomic layer deposition in a multiple patterning process
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
CN112005339A (zh) 碳膜的原子层沉积