TW201903886A - 用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源 - Google Patents
用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源 Download PDFInfo
- Publication number
- TW201903886A TW201903886A TW107113670A TW107113670A TW201903886A TW 201903886 A TW201903886 A TW 201903886A TW 107113670 A TW107113670 A TW 107113670A TW 107113670 A TW107113670 A TW 107113670A TW 201903886 A TW201903886 A TW 201903886A
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- oxygen
- gas
- semiconductor substrate
- inert gas
- Prior art date
Links
- 238000000059 patterning Methods 0.000 title abstract description 24
- 239000012713 reactive precursor Substances 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 233
- 239000007789 gas Substances 0.000 claims abstract description 149
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 86
- 239000001301 oxygen Substances 0.000 claims abstract description 86
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 86
- 239000011261 inert gas Substances 0.000 claims abstract description 62
- 238000005530 etching Methods 0.000 claims abstract description 60
- 239000000463 material Substances 0.000 claims abstract description 37
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 36
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 33
- 238000000151 deposition Methods 0.000 claims abstract description 26
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 claims abstract description 12
- 238000009966 trimming Methods 0.000 claims abstract description 11
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims abstract description 10
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims abstract description 10
- 238000009616 inductively coupled plasma Methods 0.000 claims abstract description 9
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims abstract description 6
- 239000001272 nitrous oxide Substances 0.000 claims abstract description 6
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims abstract description 5
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims abstract description 5
- 239000001569 carbon dioxide Substances 0.000 claims abstract description 5
- 229910002092 carbon dioxide Inorganic materials 0.000 claims abstract description 5
- 229910002091 carbon monoxide Inorganic materials 0.000 claims abstract description 5
- 235000019253 formic acid Nutrition 0.000 claims abstract description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims abstract description 5
- 210000002381 plasma Anatomy 0.000 claims abstract 33
- 230000008569 process Effects 0.000 claims description 172
- 239000000758 substrate Substances 0.000 claims description 140
- 238000012545 processing Methods 0.000 claims description 103
- 239000004065 semiconductor Substances 0.000 claims description 56
- 239000003575 carbonaceous material Substances 0.000 claims description 47
- 238000000231 atomic layer deposition Methods 0.000 claims description 33
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 26
- 229920002120 photoresistant polymer Polymers 0.000 claims description 22
- 239000000376 reactant Substances 0.000 claims description 20
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- 229910052786 argon Inorganic materials 0.000 claims description 14
- 238000002156 mixing Methods 0.000 claims description 12
- 239000002243 precursor Substances 0.000 claims description 10
- 229910052707 ruthenium Inorganic materials 0.000 claims description 10
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 9
- 229910052734 helium Inorganic materials 0.000 claims description 9
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- 239000012159 carrier gas Substances 0.000 claims description 8
- 239000001307 helium Substances 0.000 claims description 8
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 8
- 238000004891 communication Methods 0.000 claims description 6
- 238000010438 heat treatment Methods 0.000 claims description 6
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 5
- -1 ruthenium nitride Chemical class 0.000 claims description 5
- 229910044991 metal oxide Inorganic materials 0.000 claims description 4
- 150000004706 metal oxides Chemical class 0.000 claims description 4
- 229910001925 ruthenium oxide Inorganic materials 0.000 claims description 3
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 claims description 3
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 230000005611 electricity Effects 0.000 claims 1
- 238000000295 emission spectrum Methods 0.000 claims 1
- 239000002002 slurry Substances 0.000 claims 1
- 238000005507 spraying Methods 0.000 claims 1
- 239000011162 core material Substances 0.000 description 81
- 239000010410 layer Substances 0.000 description 79
- 235000012431 wafers Nutrition 0.000 description 58
- 238000012546 transfer Methods 0.000 description 29
- 238000010926 purge Methods 0.000 description 28
- 238000004519 manufacturing process Methods 0.000 description 19
- 239000000203 mixture Substances 0.000 description 19
- 230000008021 deposition Effects 0.000 description 18
- 125000006850 spacer group Chemical group 0.000 description 18
- 239000007788 liquid Substances 0.000 description 17
- 239000000126 substance Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 238000001459 lithography Methods 0.000 description 11
- 238000010586 diagram Methods 0.000 description 10
- 230000000694 effects Effects 0.000 description 10
- 238000002309 gasification Methods 0.000 description 10
- 238000004140 cleaning Methods 0.000 description 9
- 238000009472 formulation Methods 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 239000011295 pitch Substances 0.000 description 7
- 238000004544 sputter deposition Methods 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000007796 conventional method Methods 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 229910001936 tantalum oxide Inorganic materials 0.000 description 4
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 239000012298 atmosphere Substances 0.000 description 3
- 238000004422 calculation algorithm Methods 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000004993 emission spectroscopy Methods 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 229910000420 cerium oxide Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 230000003750 conditioning effect Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 239000000112 cooling gas Substances 0.000 description 2
- 239000006185 dispersion Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 238000001994 activation Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000010420 art technique Methods 0.000 description 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- WXANAQMHYPHTGY-UHFFFAOYSA-N cerium;ethyne Chemical compound [Ce].[C-]#[C] WXANAQMHYPHTGY-UHFFFAOYSA-N 0.000 description 1
- 239000003610 charcoal Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 238000007730 finishing process Methods 0.000 description 1
- BIXHRBFZLLFBFL-UHFFFAOYSA-N germanium nitride Chemical compound N#[Ge]N([Ge]#N)[Ge]#N BIXHRBFZLLFBFL-UHFFFAOYSA-N 0.000 description 1
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 1
- 229920001568 phenolic resin Polymers 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 239000004926 polymethyl methacrylate Substances 0.000 description 1
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 1
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 1
- 239000003642 reactive oxygen metabolite Substances 0.000 description 1
- 238000007670 refining Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Formation Of Insulating Films (AREA)
- ing And Chemical Polishing (AREA)
- Weting (AREA)
Abstract
在待蝕刻層上圖案化含碳材料的方法和設備。方法包含藉由原子層蝕刻修整含碳材料,該原子層蝕刻包含:將含碳材料在無電漿情況下暴露於一含氧氣體以將含碳材料的表面改質;及將含碳材料暴露於一惰性氣體並點燃電漿以移除含碳材料的該改質表面。方法可使用於多重圖案化技術,例如雙重和四重圖案化。方法亦包含在不破壞真空的情況下在使用原子層蝕刻圖案化的含碳材料上沉積一保形膜。該含氧氣體可含有氧、臭氧、水蒸氣、一氧化二氮、一氧化碳、甲酸蒸氣、及/或二氧化碳其中任何一者以上。該設備可包含替代性高能來源,其包含27 MHz及/或13 MHz電容耦合電漿及/或電感耦合電漿,例如遠程電漿。
Description
本發明關於在待蝕刻層上之含碳材料的圖案化。
先進積體電路製造經常涉及在大批量半導體生產中圖案化小特徵部。多重圖案化技術可允許基於例如193 nm浸微影之微影技術的特徵部大小比例縮放。自對準雙重圖案化係多重圖案化技術的一例子。多重圖案化涉及材料蝕刻,其通常藉由習知的等向性蝕刻技術加以執行。
此處提供半導體基板的處理方法。一個實施態樣包含一種半導體基板的處理方法,該方法包含:(a)對一腔室提供一半導體基板,該半導體基板包含一含碳材料,其具有含碳特徵部的一圖案;及(b)藉由原子層蝕刻,修整該等含碳特徵部,以縮減臨界尺寸並形成具有垂直側壁的經修整的含碳特徵部,該原子層蝕刻包含:(i)將該等含碳特徵部的表面,在無電漿情況下暴露於一含氧氣體,以將該含碳材料的表面改質;及(ii)將該等含碳特徵部的該改質表面暴露於一惰性氣體並點燃電漿,以移除該含碳特徵部的該改質表面。
在各種實施例中,該含氧氣體可含有氧、臭氧、水蒸氣、一氧化二氮、一氧化碳、甲酸蒸氣、二氧化碳其中任何一者以上。該惰性氣體可為氦、氮、氬、及前述組合其中任一者。
在各種實施例中,該含碳特徵部包含旋塗碳、光阻、及非晶碳其中任一者。
該腔室可設定於約1托與約10托之間的腔室壓力。在一些實施例中,該電漿係使用介於約50 W與250 W之間的電漿功率加以點燃。
該方法亦可包含在將該等含碳特徵部的表面在無電漿情況下暴露於該含氧氣體的步驟與將該含碳特徵部的該表面暴露於該惰性氣體並點燃該電漿的步驟之間,驅淨該腔室。可將該腔室加以驅淨介於約0.1秒與約0.5秒之間的持續時間。
在一些實施例中,該原子層蝕刻亦包含循環地重複(i)及(ii)。舉例來說,在一些實施例中,執行約5個循環與約100個循環之間。
該方法亦可包含,在形成該等經修整的含碳特徵部之後,在不破壞真空的情況下,藉由原子層沉積在該等經修整的含碳特徵部之上保形地沉積一膜。保形地沉積之該膜可包含例如矽氧化物、矽氮化物、矽碳化物、及金屬氧化物其中任何一者以上的材料。 在一些實施例中,該修整步驟與該保形膜沉積係在相同腔室中執行。
在一些實施例中,該方法亦包含,在提供該基板的步驟之後與在修整該等含碳特徵部的步驟之前,將該半導體基板加熱至介於約35 ℃與約100 ℃之間的溫度。
在各種實施例中,於提供至該腔室的該半導體基板上的含碳特徵部的該圖案的特徵部的深寬比係在約6:1與約10:1之間。
在各種實施例中,將該等含碳特徵部的表面暴露於該含氧氣體的步驟更包含:導入一載體氣體,該載體氣體,例如氦、氮、氬、及前述組合其中任一者。
另一實施態樣涉及一種半導體基板處理設備,該設備包含:(a)一個以上製程腔室,各製程腔室包含一支座;(b)一個以上出口,用於連結至真空;(c)連結至一個以上含氧氣體來源及相關聯的含氧氣體流量控制硬體的一個以上氣體入口;(d)連結至一個以上惰性氣體來源及相關聯的惰性氣體流量控制硬體的一個以上氣體入口;(e)一電漿產生器;及(f)一控制器,用於控制在該設備中的操作,該控制器包含至少一處理器及一記憶體,俾使該至少一處理器與該記憶體彼此通訊連接,該至少一處理器至少操作性連接至流量控制硬體,且該記憶體儲存用於以下操作的電腦可執行指令:將一含氧氣體導入一第一製程腔室;及將一惰性氣體導入至該第一製程腔室並點燃一電漿,使得(i)及(ii)在不破壞真空的情況下加以執行。
在一些實施例中,該設備更包含:連結至含矽前驅物氣體來源的一個以上氣體入口、及連結至用於與含矽前驅物氣體反應之含氧反應物的一個以上氣體入口;且該記憶體更儲存電腦可執行指令用於:(iii)將(i)及(ii)重複n個循環,其中n為介於且包含5和100的整數;及(iv)在重複(iii)之後,導入該含矽前驅物氣體與含氧反應物的交替脈衝,以藉由原子層沉積而沉積矽氧化物膜;及使得(i)-(iv)在不破壞真空的情況下執行。
在各種實施例中,該設備可包含替代性高能來源,包含27 MHz及/或13 MHz電容耦合電漿;及/或電感耦合電漿,例如遠程電漿。
在一些實施例中,該設備亦包含光發射光譜感測器。
這些或其他實施態樣以下參照圖式進一步描述。
在以下說明中,描述許多特定細節,以提供所述實施例的完整理解。所揭露實施例可在沒有若干或全部這些特定細節的情況下加以實施。另一方面,眾所周知的製程操作不再詳細描述,以免不必要地混淆所揭露實施例。雖然所揭露實施例將配合這些特定實施例加以描述,吾人理解這無意限定所揭露的實施例。
圖案化方法使用在許多半導體製造過程中。特別是,多重圖案化已用以將微影技術延伸超過其光學限制。雙重圖案化及四重圖案化為用以將微影技術延伸超過其光學限制的例示技術,且雙重圖案化現在廣泛用於節距低於約80 nm的產業。目前的雙重圖案化技術包含核心材料的圖案化以形成核心圖案。修整為用於縮減核心圖案的臨界尺寸的製程。修整製程經常用以在以微影方式定義一圖案之後縮減臨界尺寸,且可用以將微影技術延伸超過其光學限制。舉例來說,修整製程縮減基板上特徵部臨界尺寸。
核心材料包含但不限於光阻、旋塗碳(spin on carbon)、及非晶碳。修整涉及蝕刻含碳核心材料,其可在不同的電漿環境中進行。用於光阻修整的習知技術涉及使用非氧化電漿來固化光阻以降低粗糙度(例如線邊緣粗糙度),以及使用氧化電漿來蝕刻光阻以修改光阻的輪廓。氧化電漿包含離子和自由基(以及其他物種),且可藉由將含氧氣體(例如含有氧、臭氧、水蒸氣、一氧化二氮、一氧化碳、甲酸蒸氣、二氧化碳、或上述任何二者以上的混合物之氣體)與稀釋氣體(例如氬、氦、或氮)導入而加以產生。若干習知技術亦包含使用含氯或含溴電漿以蝕刻光阻。然而,習知技術在電漿蝕刻製程期間由於離子引發之損傷而造成等向性的損傷。此現象稱作「底腳(footing)」。在圖1A-1I中所描繪的基板序列中提供一個例子。
圖1A顯示基板100,具有以微影方式定義或圖案化的第一核心101,其位於第二核心103之上,以及第三核心105、及一目標層107。在此技術領域具有通常知識者了解,此處所述適於半導體處理的多層堆疊亦可包含其他層,例如蝕刻停止層、帽層、阻障層、及其他下層。
圖案化的第一核心101可為含碳或含矽材料。在一些實施例中,圖案化的第一核心101為光阻。圖案化的第一核心101係以微影方式加以定義且用以蝕刻第二核心103。第二核心103可藉由任何適合的沉積技術(例如電漿輔助化學氣相沉積(PECVD))加以沉積,且該沉積技術可涉及在沉積腔室中從包含烴前驅物的沉積氣體產生電漿。該烴前驅物可藉由化學式CX
HY
加以定義,其中x為介於1與10之間的整數,且y為介於2與24之間的整數。例子包含甲烷(CH4
)、乙炔(C2
H2
)、乙烯(C2
H4
)、丙烯(C3
H6
)、丁烷(C4
H10
)、環己烷(C6
H12
)、苯(C6
H6
)、及甲苯(C7
H8
)。包含高頻(HF)功率及低頻(LF)功率的一個雙射頻(RF)電漿源可加以使用。
在第二核心103下方為第三核心105,其亦可為藉由PECVD所沉積的含碳材料。
在第三核心105下方為目標層107。目標層107可為最終待圖案化的層。目標層107可為半導體、介電質、或其他層,且舉例來說可由矽(Si)、矽氧化物(SiO2
)、矽氮化物(SiN)、或鈦氮化物(TiN)構成。目標層107可藉由原子層沉積(ALD)、PEALD、化學氣相沉積(CVD)、或其他適合的沉積技術加以沉積。
在圖1B中,第二核心103係使用圖案化的第一核心101作為遮罩而加以蝕刻,以形成圖案化的第二核心113,且圖案化的第一核心101係加以移除。第一保形膜109係沉積在圖案化的第二核心113之上。在一些實施例中,第一保形膜109可藉由ALD或PEALD加以沉積。第一保形膜109可為氧化物,例如矽氧化物(SiO2
)或鈦氧化物(TiO2
),或可為氮化物,例如矽氮化物(SiN)。
圖案化的第二核心113藉由蝕刻第一保形膜109形成第一間隔物119而加以暴露,如圖1C所顯示。第一間隔物119的圖案係用以圖案化後續的層。將理解的是,此處所使用的術語「間隔物」意指與核心材料相鄰的遮罩材料。
在圖1D中,圖案化的第二核心113係選擇性地加以蝕刻,在基板100上留下獨立的第一間隔物119。此處所使用的選擇性移除或選擇性蝕刻係定義為相對另一者選擇性蝕刻一材料。舉例來說,在圖1D中,圖案化第二核心113係相對於第一間隔物119及第三核心105而選擇性加以蝕刻。將理解的是,在一些實施例中,若第一材料係相對於一第二材料選擇性加以蝕刻,第一材料的蝕刻速率快於第二材料的蝕刻速率,使得在給定持續時間,與第二材料相比較多的第一材料受到蝕刻。
第一間隔物119的圖案在一些實施例中可具有約30 nm到約50 nm的節距。由於臨界尺寸非常大,在這些較大的臨界尺寸處節距擺動(pitch walking)的風險很小。
在圖1E中,第三核心105係使用第一間隔物119作為遮罩而加以蝕刻,藉此將圖案轉移至第三核心105以形成圖案化的第三核心115。圖案化的第三核心115可使用適於蝕刻第三核心105而不適於第一間隔物119的化學品加以蝕刻。圖案化的第三核心115可為非晶碳層、非晶矽層、或光阻,例如聚(甲基丙烯酸甲酯)或聚(甲基戊二醯亞胺)(PMGI)或苯酚甲醛樹脂。
在圖1F中,圖案化的第三核心115的臨界尺寸藉由使用習知技術修整核心材料而加以縮減。圖案化的第三核心115藉由點燃含氧氣體並施加偏壓而暴露於等向性電漿蝕刻,這造成錐形的經修整之第三核心135。在一些實施例中,不施加偏壓。外廓125顯示與經修整的第三核心135相比之圖案化第三核心115的輪廓。然而,由於第三核心115的修整傳統上使用等向性電漿蝕刻製程加以執行,難以對圖案化的第三核心115與目標層107相交處(如例示箭頭180所描繪)的角隅進行蝕刻,這因而形成如圖1F所顯示的錐形底腳效應,使得經修整的第三核心135的側壁為傾斜的。這造成側壁以大於90°之角度與目標層107的表面相交。在許多實施例中,期望側壁為實質垂直的,使得經修整的第三核心135之側壁與目標層107表面相交的角度為約90°。
此修整製程影響經修整第三核心135的輪廓,其影響後續的處理輪廓,如以下相對於圖1G-1I進一步描述。
在圖1G中,第二保形膜120沉積在經修整的第三核心135之上。由於經修整的第三核心135具有傾斜的側壁,第二保形膜120亦沿經修整第三核心135的側壁而傾斜。第二保形膜120可為由ALD或PEALD所沉積的介電材料。舉例來說,在一些實施例中,第二保形膜120可為矽氧化物。在一些實施例中,第二保形膜120可具有與第一保形膜109相同或類似的成分。要注意的是,在習知技術中,如以上相對於圖1F所述的修整製程係在獨立於進行保形膜120沉積之蝕機台或腔室的一蝕刻機台或腔室中加以執行,這可能降低生產率及效率。
在圖1H中,第二保形膜120係加以方向性蝕刻,以在經修整的第三核心135的側面形成第二間隔物121。由於經修整的第三核心135的傾斜側壁,第二間隔物121的側壁係傾斜的。
在圖1I中,經修整的第三核心135係選擇性加以移除,留下獨立的第二間隔物,其後續用以蝕刻目標層107而形成圖案化的目標層127。在一些實施例中,由於經修整的第三核心135的傾斜側壁,可能甚至有一些殘留的第三核心材料遺留在基板100之上。經修整的第三核心135可使用與圖1D中選擇性蝕刻圖案化第二核心113相同或類似的化學品加以選擇性移除。如圖1I所顯示,因為來自先前核心修整製程的錐形底腳,目標層127的所得圖案係不一致的,使得側壁由於經修整的第三核心135的底腳效應而可能無法垂直地加以蝕刻。期望在沉積第二保形膜120之前形成間隔物,使得該等間隔物具有垂直側壁。
除了造成圖案化問題的底腳效應之外,亦觀察到節距擺動,使得整個基板的節距大幅變化;舉例來說,如圖1I所顯示,如相對在α、β、及γ處描繪的箭頭所顯示,節距亦可能是不一致的。由於從電漿所產生蝕刻物種的方向性,習知技術不足以在角隅處蝕刻以降低底腳效應,這因而導致核心材料在該核心材料與目標層表面相交的角隅處未受蝕刻。
此處提供方法和設備,用於藉由原子層蝕刻(ALE)技術蝕刻含碳材料,以在經蝕刻的含碳材料上達成實質垂直的側壁以供圖案化應用中使用。方法包含:藉由將含碳材料在無電漿情況下暴露於含氧氣體來修整含碳材料,以將含碳材料的表面改質;及將經改質的表面暴露於惰性氣體並點燃電漿以在一逐層蝕刻製程中移除該經改質表面。原子層蝕刻的逐層特性允許保形蝕刻,使得底腳效應不會發生,且核心材料的側壁與待蝕刻的下方層的平坦表面於約90°±5°的接點處相交。此處所述的垂直側壁意指核心材料的側壁與待蝕刻的下方層的平坦表面於約90°±5°的接點處相交。方法係適於多重圖案化技術,例如雙重圖案化和四重圖案化。方法係適於將以微影方式定義的含碳材料的臨界尺寸縮減任何所欲的量。舉例來說,在一些實施例中,含碳特徵部的臨界尺寸可使用若干揭露的實施例從約600 Å縮減至約500 Å。方法包含使用ALE進行蝕刻。
ALE為一技術,其使用相繼的自限制反應移除材料薄層。一般而言,ALE可使用任何合適的技術加以執行。原子層蝕刻技術的例子描述於:西元2014年11月11日授證的美國專利第8,883,028號;及西元2014年8月19日授證的美國專利第8,808,561號,上述專利案藉由參照於此處納入本案說明書內容,以說明例示原子層蝕刻及蝕刻技術。與原子層沉積(ALD)技術整合的原子層蝕刻技術的例子描述於西元2017年2月21日授證之美國專利第9,576,811號,其藉由參照於此納入。在各種實施例中,ALE可使用電漿加以執行,或可熱致地(thermally)執行。
ALE係循環地加以執行。「ALE循環」的概念相關於此處各種實施例的探討。一般而言,一ALE循環為用以執行一蝕刻製程一次的最小操作集合,例如蝕刻一單層。一個循環的結果為在基板表面上的一膜層的至少部分受到蝕刻。典型上,一ALE循環包含用以形成一反應層的一改質操作,其後接著一移除操作,該移除操作用以僅移除或蝕刻此改質層。該循環可包含若干輔助操作,例如掃除反應物或副產物其中一者。一般而言,一循環含有一獨特順序的操作的一個實例。舉例來說,一ALE循環可包含以下操作:(i) 輸送反應氣體,(ii)將反應氣體從腔室驅淨,(iii)輸送移除氣體及選用性的電漿,及(iv)對腔室進行驅淨。在一些實施例中,蝕刻可非保形地加以執行。圖2顯示ALE循環的二個例示示意圖。圖形271a-271e顯示一通用的ALE循環。在271a,提供基板。在271b,將基板表面改質。在271c,準備次個步驟。在271d,改質層受到蝕刻。在271e,改質層受到移除。類似地,圖形272a-272e顯示用於蝕刻含碳膜的ALE循環的例子。在272a,提供一含碳基板,其包含許多碳原子。在272b,反應氣體氧係導入至基板,將基板表面改質。舉例來說,在272b的示意圖顯示,若干氧係吸附至基板表面上。雖然氧在圖2中加以繪示,任何含氧化合物或適合的反應物可加以使用。在272c,反應氣體氧係自腔室加以驅淨。在272d,將移除氣體氬導入,伴隨有以Ar+
電漿物種及箭頭所指示的方向性電漿,且離子轟擊係加以執行以移除基板的改質表面。雖然氬在圖2中繪示,吾人理解其他移除氣體可加以使用,例如氦、氮、氬、及其組合。在移除期間,一偏壓施加至基板,以將離子朝基板吸引。在272e,將腔室加以驅淨,且將副產物移除。
一個循環可能僅部分蝕刻約0.1 nm至約50 nm的材料,或介於約0.1 nm與約5 nm之間的材料,或介於約0.2 nm與約50 nm之間的材料,或介於約0.2 nm與約5 nm之間的材料。在一循環中蝕刻的材料量可取決於蝕刻的目的;舉例來說,蝕刻之材料量取決於在蝕刻含碳材料以形成圖案之後使用圖案化含碳材料所蝕刻之層的期望臨界尺寸。
圖3顯示一製程流程圖,用於根據若干揭露的實施例在一方法中執行操作。在圖3中的操作可執行於在約1毫托與約100托之間的腔室壓力,例如在約4托與約10托之間,或在約1托與約10托之間,或在約1托與約2托之間。在操作302中,將一基板或晶圓提供至一處理腔室。該腔室可為在一多腔室設備或一單一腔室設備之中的一腔室。該基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓、或450 mm晶圓,包含具有一或多層材料的晶圓,該一或多層材料係例如沉積於其上的介電質、導電或半導電材料。該基板可置放於用以固持基板的支座之上。該支座可設定至介於約35 ℃與約100 ℃之間的溫度。此溫度可在此處稱為基板溫度,但吾人理解該基板溫度為固持該基板的支座受設定至的溫度。
在一些實施例中,基板包括含碳材料,例如旋塗碳、非晶碳、或光阻。該基板可包含在該基板上先前所沉積及圖案化的一圖案化遮罩層。
在各種實施例中,在該基板上的該些層係加以圖案化。基板包含「特徵部」,其可以微影方式定義或可從一或多個先前蝕刻製程加以圖案化或蝕刻成圖案化核心材料。以微影方式定義,意指藉由微影法加以圖案化,例如193 nm微影法,從而藉由從光子源將光子發射至一遮罩上且將圖案印刷至感光光阻上,藉此在光阻中導致移除光阻的若干部分的化學反應以形成該圖案,而將圖案加以印刷。在各種實施例中,提供至腔室的基板包括含碳特徵部的以微影方式定義的圖案。此處所使用之特徵部,意指圖案化含碳材料的正型特徵部。節距為介於特徵部之間的中心到中心的距離。複數特徵部在基板表面上係加以間隔開,其中該等特徵部之間的間隔稱為「溝槽」或「孔」。在各種實施例中,含碳特徵部下方的基板可包含一下層,例如阻障層或黏著層。下層的非限制例子包含介電層和導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。
在一些實施例中,此等特徵部具有深寬比至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約30:1、或更高。在一些實施例中,此等特徵部具有介於約6:1與約10:1之間的深寬比。在具有特徵部的基板,其中介於特徵部之間的溝槽或孔的開口之寬度小於約150 nm,所揭露方法可加以執行。
在圖3的操作304中,基板在不點燃電漿的情況下暴露於含氧氣體,以將基板上的含碳材料表面改質。該改質操作形成具有一厚度的一薄的反應表面層,其與未改質材料相比在後續移除操作中較易於移除。在一改質操作中,在基板上的含碳材料可藉由將含氧氣體導入腔室而加以改質。在揭露的實施例中,氧係用作例示蝕刻劑物種,但吾人理解在一些實施例中,將不同的蝕刻氣體導入腔室,例如一氧化二氮。在一些實施例中,氧可在不與含碳材料反應的情況下吸附至基板的表面。在各種實施例中,氧以氣體型式導入腔室且可選用性地伴隨載體氣體,該載體氣體可為氦、氮、氬、及其組合其中任一者。在一些實施例中,氧係與氮一起導入至製程腔室。操作304可執行一持續時間,其足以達成以含氧氣體完全飽和基板表面。在一些實施例中,該持續時間可為約0.1秒。在一些實施例中,該持續時間可介於約0.1秒與約5秒之間,例如約0.5秒,或約1秒。
在操作306中,驅淨可加以執行。在驅淨操作中,未與表面結合的活性氧物種可從製程腔室加以移除。這可藉由將製程腔室驅淨及/或排空以移除活性物種但不移除吸附層而進行。驅淨可使用任何惰性氣體進行,例如N2
、Ar、Ne、He及其組合。在一些實施例中,「叢發(burst)」驅淨可加以使用,其中驅淨的持續時間介於約0.1秒與約0.5秒之間。
在操作308中,將基板暴露於惰性氣體且將電漿點燃以移除經改質表面。在移除操作中,基板可暴露於一能量源(例如,導致移除作用的活化或濺射氣體或化學反應物種),例如氬或氦,以藉由方向性濺射而蝕刻基板。在一些實施例中,移除操作可藉由離子轟擊加以執行。在一些實施例中,可能適合施加一偏壓,以調制蝕刻的方向性而達成所欲的輪廓。然而,要注意的是,在此處所述大多數實施例中,保形蝕刻可在不施加偏壓的情況下達成。
濺射氣體的量可加以控制,以例如僅蝕刻一目標量的材料。在各種實施例中,腔室的壓力在改質與移除操作之間可不同。氣體壓力可取決於腔室大小、氣體流率、反應器溫度、基板類型、及待蝕刻基板的尺寸。
電漿於一電漿功率加以點燃,該電漿功率係選定以減少在基板表面上材料的濺射且同時控制在各循環中所蝕刻的材料量。對於一四站式腔室(例如用以同時處理四片基板),電漿功率可介於約250 W與約750 W之間。在一些實施例中,單一基板站的電漿功率可介於約50W與約250 W之間。雖然電漿的使用一般可導致若干濺射,濺射通常藉由以下方式控制:於高壓力以低電漿功率執行所揭露的實施例,以對每循環蝕刻的材料量達到微調控制,並藉此圖案化含碳材料而取得垂直側壁。舉例來說,在一些實施例中,腔室壓力可為約2托,且每基板站的電漿功率介於約50 W與約250 W之間。在一些實施例中,電漿可加以點燃少於約5秒的持續時間,例如介於約1秒與約5秒之間。
在操作310中,在移除操作之後,腔室可加以驅淨。驅淨製程可為在改質操作之後用於驅淨的那些製程的任一者。在一些實施例中,一「叢發」驅淨係加以執行,如以上相對於操作306所述。
在操作399中,判定基板是否已充分蝕刻。若否,操作304-310可選用性重複。執行操作304-310可構成一個ALE循環。在各種實施例中,蝕刻可循環地加以執行。循環的數目取決於特定應用所期望的蝕刻量。在各種實施例中,可使用約1循環到約100循環。在一些實施例中,可使用約5循環至約100循環。在一些實施例中,循環的數目可約1至約40循環,或約1至約20循環,或約30至約40循環。可包含任何適合數量的ALE循環,以蝕刻所欲量的膜。在一些實施例中,ALE係循環地加以執行,以蝕刻基板上之層的約1 Å至約50 Å的表面。在一些實施例中,ALE的循環蝕刻基板上之層的介於約2 Å與約50 Å之間的表面。在一些實施例中,可藉由使用光發射光譜法(OES)識別蝕刻量並設定一終點以在該終點停止蝕刻,將循環的數目加以選定。在一些實施例中,循環時間(單一循環的持續時間)可小於1秒。
在操作350中,在基板充分蝕刻而形成圖案的所欲臨界尺寸之後,一保形膜可在不破壞真空的情況下藉由原子層沉積而選用性加以沉積。在一些實施例中,保形膜包含矽氧化物。在一些實施例中,保形膜包含矽氮化物、矽碳化物、金屬氧化物、及其組合。亦即是,在一些實施例中,操作304-350可在不破壞真空的情況下加以執行。在一些實施例中,操作304-350在相同腔室中執行。在一些實施例中,腔室包含二或更多製程站,且ALE在一個製程站中執行而ALD在另一製程站中執行。
要理解的是,在一些實施例中,操作304在操作308之前執行。然而,在一些實施例中,操作308可在操作304之前執行。在此等實施例中,電漿在導入含氧氣體的情況下加以點燃,而惰性氣體在無電漿情況下加以導入。舉例來說,在一個實施例中,在提供基板後,將基板於不點燃電漿的情況下暴露於惰性氣體流,該腔室可選用性加以驅淨,該基板可接著暴露於含氧氣體且一氣體可在不施加偏壓的情況下加以點燃,且腔室可接著選用性地再度驅淨。對惰性氣體流及含氧電漿的暴露可依需要循環地重複,以蝕刻所欲厚度的含碳材料。
在另一實施例中,在提供基板之後,可將基板暴露於一惰性氣體流且可將一電漿點燃,可將腔室選用性加以驅淨,該基板可接著在不點燃電漿的情況下暴露於含氧氣體,且該腔室可接著選用性地再度驅淨。對惰性氣體電漿及含氧氣體的暴露可依需要循環地重複,以蝕刻所欲厚度的含碳材料。在整個ALE操作期間,不施加偏壓。
在另一實施例中,在提供基板之後,可將基板暴露於在遠程電漿腔室之中產生的含氧電漿,可將腔室選用性驅淨,可接著將基板暴露於惰性氣體且同時原位點燃電漿,且該腔室可接著選用性地再度驅淨。對遠程產生之含氧電漿及原位產生的惰性氣體電漿的暴露可依需要循環地重複,以蝕刻所欲厚度的含碳材料。
圖4A-4C顯示經歷若干揭露之實施例的基板的示意圖。圖4A對應圖1E,具有在基板400上的圖案化第三核心415及目標層407。在圖4B中,圖案化的第三核心415係使用用以將基板改質的在無電漿情況下的含氧氣體、與用以移除經改質表面的在無偏壓情況下的惰性氣體電漿之交替脈衝,例如以上參照圖3所述,而藉由原子層蝕刻加以修整,藉此形成經修整的第三核心435。要注意的是,由於藉由原子層蝕刻之逐層蝕刻,沒有底腳效應(參見480),且經修整的第三核心435的側壁係垂直的而與目標層407的表面呈約90°角度。外廓425顯示在原子層蝕刻之前圖案化第三核心415的外廓。
在圖4C中,將保形膜420沉積至經修整的第三核心435之上。這可對應圖3的操作350,藉此在不破壞真空的情況下在藉由原子層蝕刻而進行蝕刻之後將一保形膜藉由原子層沉積加以沉積。在一些實施例中,蝕刻和沉積在相同腔室中執行。在一些實施例中,蝕刻和沉積在沉積腔室中執行。
在圖4D中,將保形膜420加以方向性地蝕刻,以從經修整的第三核心435的頂部及溝槽的底部移除該膜而暴露目標層407且形成間隔物421。在圖4E中,將經修整的第三核心435選擇性加以移除,且將間隔物421用作遮罩以蝕刻目標層407以產生圖案化的目標層427,俾使介於圖案化目標層427的柱之間的間隔隨垂直側壁對稱且一致。
圖5為時序示意圖,描繪根據若干所揭露實施例所執行的操作的例子。在圖5提供的例子中,製程500包含二個蝕刻循環512A和512B。蝕刻循環512A包括含氧氣體暴露階段504A、驅淨階段506A、惰性氣體與電漿暴露階段508A、及驅淨階段510A。
在含氧氣體暴露階段504A(其可對應圖3的操作304)期間,將驅淨氣體流關閉,將電漿關閉,在此例子中將惰性氣體流關閉,且將含氧氣體流開啟。要注意的是,雖然將惰性氣體流描繪成關閉,在一些實施例中,可將一載體氣體(其可為一惰性氣體)與含氧氣體一起流動。在一些實施例中,在將含氧氣體輸送至處理腔室之前,將載體氣體轉向。
在含氧氣體暴露階段504A之後,執行驅淨階段506A,其可對應圖3的操作306。在驅淨階段506A期間,使一驅淨氣體流動,同時將含氧氣體及惰性氣體流關閉且將電漿關閉。要注意的是,雖然驅淨氣體與惰性氣體在圖3中分開條列,在一些實施例中,相同的氣體可用作驅淨氣體及在惰性氣體與電漿暴露階段508A中所使用的惰性氣體。
在惰性氣體與電漿暴露階段508A期間,將驅淨氣體流以及含氧氣體流關閉,同時將惰性氣體流開啟且將電漿開啟。此階段可對應圖3的操作308。雖然在圖5中未描繪偏壓是否施加,在一些實施例中,在惰性氣體與電漿暴露階段508A期間,不施加偏壓。類似地,在一些實施例中,在含氧氣體暴露階段504A期間亦不施加偏壓。
在可與圖3的操作310對應的驅淨階段510A中,使驅淨氣體流動,同時將含氧氣體流及惰性氣體流關閉且將電漿關閉。
在特定實施例中,蝕刻循環512A的階段504A(其有時可稱為「用劑」階段)/506A(驅淨)/508A(其有時可稱為「電漿」或「RF」階段)/510A(驅淨)之時序(有時稱為脈衝列時序)可範圍如下:0.1-1.0s / 0.1-1.0s / 0.1-1.0s / 0.1-1.0s。舉例來說,可使用0.25s / 0.25s / 0.25s / 0.1s的脈衝列時序。
在特定實施例中,含氧氣體暴露階段504A的噴淋頭流量可在約5-15 slm之範圍;用於惰性氣體與電漿暴露階段508A的噴淋頭流量可在約5-15 slm之範圍;驅淨階段506A和510A的驅淨流量可在約20-40 slm的範圍。
蝕刻循環512A可如顯示在蝕刻循環512B中加以重複,蝕刻循環512B包括含氧氣體暴露階段504B、驅淨階段506B、惰性氣體與電漿暴露階段508B、及驅淨階段510B。在含氧氣體暴露階段504B期間,將驅淨氣體流及惰性氣體流關閉,同時將含氧氣體流開啟且將電漿關閉。在驅淨階段506B期間,將驅淨氣體流開啟,同時將含氧氣體流及惰性氣體流關閉且亦將電漿關閉。在惰性氣體與電漿暴露階段508B期間,將驅淨氣體流以及含氧氣體流關閉,同時將惰性氣體流開啟且亦將電漿開啟。在驅淨階段510B期間,將驅淨氣體流開啟,同時將含氧氣體流及惰性氣體流關閉且將電漿關閉。
雖然在圖5中描繪二個蝕刻循環,吾人理解額外的蝕刻循環亦可加以執行。此外,雖然未描繪沉積階段,在一些實施例中在原子層蝕刻的數個蝕刻循環之後,一保形膜係藉由原子層沉積而加以沉積在形成自蝕刻製程的圖案化基板上。 設備
圖6描繪具有製程腔室602的原子層沉積(ALD)製程站600的實施例的示意圖。製程站600可用於執行若干所揭露的實施例。舉例來說,雖然製程站600可通常用以在基板上蝕刻膜,製程站600可在若干揭露的實施例中在藉由如本說明書其他處所述的原子層蝕刻(ALE)之圖案化方案中用以蝕刻含碳材料。在一些實施例中,製程站600可用於ALE和ALD二者,或在一些實施例中,在多站式機台中的數個製程站可包含用於ALE的一站及用於ALD的一站,俾使基板可在ALE站與ALD站之間在不破壞真空的情況下加以轉移。
製程腔室602可用於維持一低壓環境。複數製程站可包含在一共同的低壓製程機台環境。舉例來說,圖7描繪一多站式處理機台700的環境。此外,複數製程機台可包含在一共同低壓製程叢聚式機台環境,例如參照圖8和9所描繪和描述的。在一些實施例中,製程站600的一個以上硬體參數,包含以下詳細探討者,可藉由一或多個電腦控制器650加以編程地調整。
製程站600係與反應物輸送系統601a呈流體連通,以將製程氣體輸送至分配噴淋頭606。反應物輸送系統601a包含混合容器604,用於混合和/或調節製程氣體(例如含氧氣體或惰性氣體)以輸送至噴淋頭606。一個以上的混合容器入口閥620可控制製程氣體朝混合容器604的導入。
舉例來說,圖6的實施例包含一氣化點603,用於將待供應至混合容器604的液態反應物氣化。在一些實施例中,沉積化學品可加以提供為氣化之液體反應物。沉積化學品可在於製程腔室602中執行ALE形成圖案化含碳材料之後加以使用,俾使一保形膜可藉由ALD沉積在圖案化的含碳材料上。在一些實施例中,氣化點603可為加熱氣化器。從此氣化器產生的飽和反應物蒸氣可能在下游輸送管路中凝結。暴露不相容的氣體於凝結的反應物可能產生小微粒。這些小微粒可能阻塞管路,阻礙閥操作,汙染基板等等。處理這些問題的一些方式包含驅淨和/或排空該輸送管路以移除殘留的反應物。然而,驅淨輸送管路可能增加製程站循環時間,降低製程站生產率。因此,在一些實施例中,氣化點603下游的輸送管路可為伴熱的(heat traced)。在一些實施例中,混合容器604亦可為伴熱的。在一個非限定例子中,氣化點603下游的管路具有從大約100 ℃延伸至混合容器604處大約150 ℃的增加溫度分布。
在一些實施例中,液體前驅物或液體反應物可在一液體注射器(未顯示)處加以氣化。舉例來說,一液體注射器可將液體反應物的脈衝注射進入混合容器604上游的載體氣體流。在一個實施例中,液體注射器可藉由從較高壓力至較低壓力將液體驟沸而氣化反應物。在另一例子中,液體注射器可將液體霧化成分散的微液滴,其後續在加熱的輸送管路中氣化。較小的液滴可與較大液滴相比較快氣化,減少液體注射與完全氣化之間的延遲時間。較快氣化可減少汽化點603下游管路的長度。在一個情境中,液體注射器可直接安裝至混合容器604。在另一情境中,液體注射器可直接安裝於噴淋頭606。
在一些實施例中,在氣化點603上游的液體流量控制器(LFC)可加以提供,以控制用於氣化和輸送至處理腔室602的液體的質量流量。舉例來說,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著對由與MFM電連通的比例-積分-微分(PID)控制器所提供的回授控制訊號響應而加以調整。然而,可能需要一秒以上以使用回授控制穩定液體流量。這可能延長液體反應物用劑的時間。因此,在一些實施例中,LFC可動態切換於回授控制模式與直接控制模式之間。在一些實施例中,這可藉由停用LFC的感應管和PID控制器而加以執行。
在其他實施例中,可將一些或所有的製程氣體以氣相提供至腔室設備,因此不需要進行氣化。
噴淋頭606朝基板612分配製程氣體。在圖6顯示的實施例中,基板612係位於噴淋頭606下方,且顯示為置於一卡盤或支座608之上。在一些實施例中,一腔室可包含多個卡盤或支座。噴淋頭606可具有任何適合的形狀,且可具有任何適合數量和配置的埠用於分配製程氣體至基板612。舉例來說:在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電容耦合電漿(CCP),其中製程氣體化學品經由噴淋頭輸送;在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電感耦合電漿(ICP),其中化學品經由噴淋頭輸送;在有或無接地支座的情況下噴淋頭輸送的含氧氣體(例如臭氧),其中其他化學品經由噴淋頭輸送;在接地的支座且經由噴淋頭輸送之化學品之情況下,於13.56、27、或60 MHz任何組合的混合頻率CCP電漿;在接地的支座且經由噴淋頭輸送之化學品之情況下於13.56、27、或60 MHz的噴淋頭輸送之CCP電漿;在接地的支座且經由噴淋頭輸送之化學品之情況下,於13.56、27、或60 MHz的支座輸送的CCP電漿;及在接地的支座且經由噴淋頭輸送之化學品之情況下,於13.56、27、或60 MHz的混合頻率支座輸送CCP電漿。
在一些實施例中,微容積607係位於噴淋頭606下方。在微容積之中而非在製程站的全部容積之中執行ALD及/或CVD製程,可減少反應物暴露和清掃時間,可縮減改變製程條件(例如壓力、溫度等等)的時間,可限制製程站機器人對製程氣體的暴露等等。例示微容積尺寸包含但不僅限於介於0.1公升與2公升之間的容積。此微容積也影響生產率。雖然每循環的沉積速率下降,循環時間也同時減少。在若干實例中,後者的效應足夠顯著而改善對於特定膜目標厚度之模組的整體生產率。
在一些實施例中,支座608可升高或下降以將基板612暴露於介於基板612與噴淋頭606之間的容積。在一些實施例中,支座608可藉由加熱器610加以溫度控制。支座608可在用於執行各種所揭露實施例的操作期間加以設定至任何適合的溫度,例如介於約25 ℃與約650 ℃之間,或介於約35 ℃與約100 ℃之間。吾人理解,在一些實施例中,支座高度可藉由任何適合的電腦控制器650加以編程地調整。
在另一情境中,調整支座608的高度可允許在若干所揭露實施例中所執行的電漿活化期間能夠變化電漿密度。舉例來說,當在核心材料暴露於含氧氣體之後使惰性氣體經由噴淋頭606流動至基板612以移除經改質的核心材料時,電漿可加以點燃。在製程階段結束時,支座608可在另一基板搬移階段期間加以降低以允許從支座608移除基板612。
在一些實施例中,噴淋頭606的位置可相對於支座608加以調整,以變化介於基板612與噴淋頭606之間的容積。此外,吾人了解,支座608及/或噴淋頭606的垂直位置可在本揭露內容的範疇內藉由任何適合機構加以變化。在一些實施例中,支座608可包含一旋轉軸,用於旋轉基板612的方向。吾人了解,在一些實施例中,這些例示調整其中一者以上可藉由一個以上適合的電腦控制器650加以編程地執行。電腦控制器650可包含以下相對於圖7的控制器750所述的任何特徵,且/或如此處所述的控制器可建構在圖6-9中此處所述站、機台、或叢聚實現實施例其中任何一或多者之中。
在一些實施例中,其中電漿可如以上探討加以使用,噴淋頭606及支座608係與射頻(RF)電源供應器614及匹配網路616加以電連通,以對電漿供電。在一些實施例中,電漿能量可藉由控制製程站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序而加以控制。舉例來說,RF電源供應器614及匹配網路616可在任何適合的功率加以操作,以形成具有所欲自由基物種成分的電漿。類似地,RF電源供應器614可提供任何適合頻率的RF功率。在一些實施例中,RF電源供應器614可建構成將高頻及低頻RF功率源彼此獨立地控制。例示低頻RF頻率可包含但不僅限於介於0 kHz和500 kHz之間的頻率。例示高頻RF頻率可包含但不僅限於介於1.8 MHz與2.45 GHz之間的頻率,或大於約13.56 MHz的頻率,或大於27 MHz的頻率,或大於40 MHz的頻率,或大於60 MHz的頻率。將了解的是,任何適合的參數可不連續地或連續地加以調制,以提供電漿能量供表面反應。
在一些實施例中,電漿可藉由一個以上電漿監測器加以原位監測。在一個情境中,電漿功率可藉由一個以上電壓、電流感測器(例如VI探測器)加以監測。在另一情境中,電漿密度和/或製程氣體濃度可藉由一個以上光發射光譜(OES)感測器加以量測。在一些實施例中,一個以上電漿參數可基於來自原位電漿監測器的量測加以編程化調整。舉例來說,OES感測器可用於回授迴路之中以提供電漿功率的編程控制。在一些實施例中,OES感測器可用以設定一終點,以在使用若干所揭露實施例若干時間量之後停止蝕刻。將了解的是,在一些實施例中,其他監測器可加以使用以監測電漿和其他製程特性。此等監測器可包含但不僅限於紅外線(IR)監測器、聲波監測器、及壓力傳感器。
在一些實施例中,用於控制器650的指令可藉由輸入/輸出控制(IOC)序列指令加以提供。在一個例子中,設定製程階段的條件的指令可納入製程配方的對應配方階段。在一些實例中,製程配方階段可順續配置,使得一製程階段的所有指令係與那個製程階段同時執行。在一些實施例中,設定一個以上反應器參數的指令可包含在一配方階段之中。舉例來說,第一配方階段可包含:設定惰性和/或反應氣體(例如含氧氣體)之流率的指令;設定載體氣體(例如氬)之流率的指令;及第一配方階段的延時指令。第二、後續的配方階段可包含:調制或停止惰性及/或反應氣體的流率之指令;調制載體或驅淨氣體之流率的指令;及第二配方階段的延時指令。第三配方階段可包含:調制例如氬之第二氣體之流率的指令;調制載體或驅淨氣體之流率的指令;於針對四站式處理機台介於約250 W與750 W之間的低電漿功率點燃電漿的指令;及第三配方階段的延時指令。第四、後續的配方階段可包含:調制或停止惰性及/或反應氣體的流率之指令;調制載體或驅淨氣體之流率的指令;及第四配方階段的延時指令。此等配方可用以蝕刻含碳材料,例如基板上的核心材料,以產生垂直的側壁,其與待蝕刻的下方層的表面以約90°±5°於接點處相交。額外的配方亦可接著進行,且可用以藉由ALD在圖案化核心材料上沉積保形膜。舉例來說,為了沉積矽氧化物保形膜於圖案化核心材料上,一個額外配方階段可包含用於設定含矽前驅物之流率的指令,且另一額外的配方階段可包含用於設定含氧反應物之流率的指令及用於該額外配方階段的延時指令。將了解的是,這些配方階段可在本揭露內容的範圍內以任何適合方式進一步細分和/或迭代進行。
又,在一些實施例中,製程站600的壓力控制可藉由蝶形閥618加以提供。如圖6的實施例中所顯示,蝶形閥618調節下游真空泵(未顯示)所提供的真空。然而,在一些實施例中,製程站600的壓力控制亦可藉由改變導入製程站600之一種以上氣體的流率而加以調整。
如上所述,一個以上製程站可包含於一多站式處理機台之中。圖7顯示一多站式處理機台700實施例的示意圖,該處理機台700具有一入站負載鎖室(load lock)702和一出站負載鎖室704,其任一者或二者可包含一遠程電漿源(未顯示)。在大氣壓力下的一機器人706係用以將晶圓自透過一晶圓盒708裝載的卡匣,經由一大氣埠710,移動至入站負載鎖室702之中。一晶圓(未顯示)係藉由機器人706置放到入站負載鎖室702之中的支座712之上,大氣埠710可加以關閉,且接著入站負載鎖室702可加以抽真空。在入站負載鎖室702包含一遠程電漿源的情況下,晶圓可在導入處理腔室714之前暴露於在入站負載鎖室702之中的遠程電漿處理。此外,舉例來說,晶圓亦可在入站負載鎖室702之中加熱,以移除濕氣和吸附的氣體。接下來,可將通至處理腔室714的一腔室搬運埠716開啟,且另一機器人(未顯示)將晶圓置放進反應器而在此反應器中所顯示的一第一站的支座之上以進行處理。雖然圖7所示實施例包含負載鎖室,吾人將了解在若干實施例中可使晶圓直接進入一製程站。
所述處理腔室714包含四個製程站,在圖7所示實施例中編號為1至4。各站具有一加熱的支座(對於站1顯示為718),以及氣體管線入口。吾人將了解,在若干實施例中,各製程站可具有不同的或多個目的。例如,在若干實施例中,一製程站可在ALE、ALD及電漿輔助ALD製程模式之間切換。在一些實施例中,暴露至沉積前驅物及暴露至第二反應物與電漿係在相同站中執行。額外地或替代地,在若干實施例中,處理腔室714可包含匹配的一對以上ALD和電漿輔助ALD製程站。雖然所述處理腔室714包含四個站,吾人將理解根據本揭露內容的一處理腔室可具有任何適合數量的站。舉例來說,在若干實施例中,一處理腔室可具有五個以上的站,而在其他實施例中一個處理腔室可具有三個以下的站。
圖7亦描述一晶圓搬運系統790的實施例,晶圓搬運系統790用於在處理腔室714之內搬送晶圓。在若干實施例中,晶圓搬運系統790可在各種製程站之間及/或在一製程站和一負載鎖室之間搬送晶圓。吾人將了解可使用任何適合的晶圓搬運系統。非限定的範例包含晶圓轉盤(wafer carousel)和晶圓搬運機器人。圖7亦描述一系統控制器750的實施例,用以控制處理機台700的製程條件和硬體狀態。系統控制器750可包含一個以上記憶體裝置756、一個以上大量儲存裝置754、及一個以上處理器752。處理器752可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
在一些實施例中,系統控制器750控制處理機台700的所有活動。系統控制器750執行系統控制軟體758,其儲存於大量儲存裝置754之中,載入記憶體裝置756,且執行於處理器752。或者是,控制邏輯可加以硬編碼於控制器750之中。特定應用積體電路、可程式邏輯元件(例如現場可程式閘陣列或FPGA)等等可用於這些目的。在以下探討中,無論何處使用「軟體」或「程式碼」,功能可比擬之硬編碼邏輯可替代使用。系統控制軟體758可包含指令,用於控制時序、氣體混合物、氣體流率、腔室和/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板支座、卡盤和/或接受器位置、及由處理機台700所執行的特定製程的其他參數。系統控制軟體758可以任何適合方式加以建構。例如,可撰寫各種製程機台元件子程式或控制物件,以控制用以執行各種製程機台製程的製程機台元件的操作。系統控制軟體758可以任何適合的電腦可讀程式語言編碼。
在若干實施例中,系統控制軟體758可包含輸入/輸出控制(IOC)序列指令,用於控制各種上述參數。關聯於系統控制器750儲存於大量儲存裝置754及/或記憶體裝置756的其他電腦軟體及/或程式,可在一些實施例中加以使用。用於此目的之程式或程式片段的例子,包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以裝載基板至支座718之上及控制介於基板和處理機台700的其他部件之間的間距的處理機台元件的程式碼。
製程氣體控制程式可包含程式碼,用於控制氣體成分(例如此處所述之含矽氣體、含氧氣體、驅淨氣體)和流率,且選擇性地在沉積之前將氣體流入一個以上製程站以穩定在製程站之中的壓力。壓力控制程式可包含程式碼,用於藉由調節(舉例來說,在製程站排氣系統中的節流閥)進入製程站的氣流而控制製程站中的壓力。
加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。或者是,加熱器控制程式可控制對基板之傳熱氣體(例如氦)輸送。
電漿控制程式可包含程式碼,用於根據此處實施例設定在一個以上製程站之中施加至製程電極的RF功率位準。
壓力控制程式可包含程式碼,用於根據此處實施例在反應腔室中維持壓力。
在若干實施例中,可具有與系統控制器750相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
在若干實施例中,由系統控制器750所調整的參數可關於製程條件。非限定範例包含製程氣體成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等等。這些參數可以配方形式提供給使用者,該配方可利用使用者介面加以輸入。
監測製程的訊號可從各種製程機台感測器藉由系統控制器750的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理機台700的類比和數位輸出連接部加以輸出。可加以監測的製程機台感測器的非限定例子包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化的回授和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器750可提供程式指令,以實施上述沉積製程。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。此等指令可控制該等參數,以根據此處所述各種實施例操作膜堆疊的原位沉積。
系統控制器750通常包含一個以上記憶體裝置和用以執行指令的一個以上處理器,俾使此設備執行根據所揭露實施例的方法。含有用於根據所揭露實施例控制製程操作的指令的機器可讀媒體可連接至該系統控制器750。
在一些實施方式中,系統控制器750係一系統的部分,該系統可為上述範例的部分。此等系統可包含半導體處理器具,包含一個以上處理設備、一個以上腔室、一個以上用於處理的平台、和/或特定的處理元件(晶圓支座、氣流系統等等)。這些系統可與電子設備整合,用於在半導體晶圓或基板處理之前、期間和之後控制它們的操作。該等電子設備可稱作「控制器」,其可控制該一個以上系統的各種元件或子部件。取決於處理條件和/或系統類型,系統控制器750可加以編程以控制此處揭露的任何製程,包含處理氣體輸送、溫度設定(例如加熱和/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置和操作設定、進出一機台和其他轉移機台和/或與特定系統連接或介接的負載鎖室之晶圓轉移。
廣義來說,系統控制器750可定義為具有各種積體電路、邏輯、記憶體、和/或軟體的電子設備,其接收指令、發送指令、控制操作、啟動清潔操作、啟動終點量測等等。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義作特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。程式指令可為指令,以各種個別設定(或程式檔案)形式與系統控制器750連通,定義對於系統或對於半導體晶圓上用於執行特定製程的操作參數。在一些實施例中,操作參數可為由製程工程師所定義配方的一部分,以在一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間達成一個以上處理步驟。
在一些實施方式中,系統控制器750可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連接至系統、或以上組合。舉例來說,系統控制器750可在「雲端」或為晶圓廠主機電腦系統的一部分,其可允許晶圓處理的遠端存取。電腦可允許對系統的遠端存取以監測製造操作的目前進展,檢查過去製造操作的歷史,檢查來自複數製造操作的趨勢或效能指標,改變目前處理的參數,設定目前處理之後的處理步驟,或啟動新的製程。在一些範例中,遠端電腦(例如一伺服器)可透過一網路對系統提供製程配方,該網路包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數和/或設定的輸入或編程,該參數和/或設定接著從遠端電腦輸送至系統。在一些例子中,系統控制器750接收呈資料形式的指令,該資料具體指定在一個以上操作期間對於各個處理步驟的參數。應理解的是,參數可針對待執行之製程類型、以及控制器建構成介接或控制之機台的類型。因此如上所述,系統控制器750可為分散式的,例如藉由包含一個以上分散的控制器,其由網路連接在一起且朝共同目的工作,例如此處所述製程和控制。用於此目的之分散式控制器的例子可為與位在遠端的一個以上積體電路(例如在平台層級或作為遠端電腦的一部份)連通之在腔室上的一個以上積體電路,其結合以控制腔室上的製程。
在沒有限制的情況下,例示系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植布腔室或模組、軌道腔室或模組、及任何其他半導體處理系統,其可能關聯於或使用於半導體晶圓的製造和/或生產。
如上所述,取決於由機台執行的一個以上製程步驟,系統控制器750可連通以下一者以上:其他機台電路或模組、其他機台元件、叢聚機台、其他機台介面、鄰接機台、附近機台、位於整個工廠的機台、主電腦、另一控制器、將晶圓容器攜帶往返在半導體製造工廠中的機台位置和/裝載埠之材料運送部中所使用的機台。
用於執行此處所揭露方法的適合設備係進一步探討及描述於以下文獻:美國專利申請案第13/084,399號(現今美國專利第8,728,956號),申請於西元2011年4月11日,發明名稱為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”;及美國專利申請案第13/084,305號,申請於2011年4月11日,發明名稱為「SILICON NITRIDE FILMS AND METHODS」。上述申請案之各者整體併入本文中以作為參考文獻。
在各種實施例中,設備可包含替代的高能來源,其包含27和/或13 MHz的電容耦合電漿、及/或電感耦合電漿,例如遠程電漿。
任何適合的腔室及/或機台可用以實施所揭露的實施例。例示處理設備包含但不僅限於來自ALTUS®產品家族、VECTOR®產品家族、SPEED®產品家族、及/或STRIKER®產品家族(例如 Striker Oxide)的設備,其每一者可購自Lam Research Corporation, Fremont, California,或者各種其他市售處理系統。該等站其中二者以上可執行相同的功能。類似地,二個以上的站可執行不同的功能。各站可設計或建構成執行如期望之特定功能/方法。
圖8為處理系統的方塊圖,該處理系統有時稱為叢聚式機台,適於根據若干實施例執行製程。系統800包含一搬移模組803。搬移模組803提供一清潔的加壓環境,以在將受處理基板於各種反應器模組之間移動時最小化它們汙染的風險。二個多站式反應器809和810安裝於搬移模組803之上,各自能夠根據若干實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)及/或原子層蝕刻(ALE)。反應器809和810可包含多個站811、813、815、及817,其可根據所揭露的實施例依序地或非依序地執行操作。該等站可包含加熱支座或基板支座,一個以上氣體入口或噴淋頭或分散板。
一或多個單站式或多站式模組807亦安裝於搬移模組803上,能夠執行就所揭露方法所描述的電漿或化學(非電漿)預清潔或任何其他製程。模組807在一些實例中可用於各種處理,例如,製備一基板以供沉積或蝕刻製程。模組807亦可設計/建構以執行各種其他製程,例如蝕刻或拋光。系統800亦包含一個以上晶圓來源模組801,在處理之前及之後晶圓係儲存於該處。在大氣壓搬移腔室819之中的大氣壓機器人(未顯示)可首先將晶圓從來源模組801搬出至負載鎖室821。在搬移模組803之中的晶圓搬移裝置(一般而言,一機器人手臂單元)將晶圓從負載鎖室821移動至安裝在搬移模組803上的模組及將晶圓在此等膜組之間移動。
在各種實施例中,系統控制器829係用以在處理期間控制製程條件。控制器829典型包含一個以上記憶體裝置及一個以上處理器。一處理器可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
控制器829可控制處理設備的所有活動。系統控制器829執行系統控制軟體,其包含複數組指令,用於控制時序、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤和/或支座位置、及特定製程的其他參數。儲存在與控制器829相關聯的記憶體裝置上的其他電腦程式可在一些實施例中加以使用。
通常,可具有與控制器829相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
系統控制邏輯可以任何適合方式加以建構。一般而言,該邏輯可以硬體及/或軟體加以設計或建構。控制驅動電路的指令可加以硬編碼或提供為軟體。指令可藉由「編程」加以提供。此編程係理解為包含任何形式的邏輯,包含數位訊號處理器中的硬編碼邏輯、特殊應用積體電路、及具有實施為硬體的特定演算法的其他裝置。編程亦理解為包含軟體獲韌體指令,其可在一般用途處理器上執行。系統控制軟體可以任何電腦可讀程式語言加以編碼。
用於控制製程順序中製程的電腦程式碼可以任何習知的電腦可讀程式語言加以撰寫:例如組合語言、C、C++、Pascal、Fortran或其他者。經編譯的目的碼或腳本係藉由處理器加以執行,以執行程式中所確定的工作。此外如所指示的,程式碼亦可加以硬編碼。
控制器參數係相關於製程條件,例如製程氣體成分和流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數以配方形式提供給使用者,且可利用使用者介面輸入。監測製程的訊號可藉由系統控制器829的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理系統800的類比和數位輸出連接部加以輸出。
系統軟體可以許多不同方式加以設計或建構。例如,可撰寫各種腔室元件子程式或控制物件,以控制根據所揭露實施例執行製程所需的腔室元件的操作。用於此目的之程式或程式片段的例子,包含基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼。
在一些實施方式中,控制器829係為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理機台、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器829編程以控制本說明書中所揭露的製程之任一者,包含處理氣體之遞送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、在一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
圖9為根據若干實施例或適於執行根據若干實施例之製程的替代處理系統的方塊圖。系統900包含一搬移模組903。搬移模組903提供一清潔的加壓環境,以在將受處理基板於各種反應器模組之間移動時最小化它們汙染的風險。三個多站式反應器907、908、和909安裝於搬移模組903之上,該等反應器在此背景中稱為反應器或機台模組或簡稱為模組,各自能夠根據若干實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)及/或原子層蝕刻(ALE)。反應器907、908、和909可包含多個站911、913、915、及917,其可根據所揭露的實施例依序地或非依序地執行操作。該等站可包含加熱支座或基板支座,一個以上氣體入口或噴淋頭或分散板。模組907、908、和909其中一者以上可能能夠執行就所揭露方法所描述的電漿或化學(非電漿)預清潔或任何其他製程,包含在一些實例中可用於各種處理,例如,製備一基板以供沉積或蝕刻製程。
系統900亦包含一個以上晶圓來源模組901,在處理之前及之後晶圓係儲存於該處。在大氣壓搬移腔室919之中的大氣壓機器人904可首先將晶圓從來源模組901搬出至負載鎖室921。在搬移模組903之中的第二晶圓搬移裝置(一般而言,一機器人手臂單元)905在一加壓(例如真空)環境中將晶圓從負載鎖室921移動至安裝在搬移模組903上的模組及將晶圓在此等膜組之間移動。
在各種實施例中,系統控制器929係用以在處理期間控制製程條件。控制器929典型包含一個以上記憶體裝置及一個以上處理器。一處理器可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
控制器929可控制處理設備的所有活動。系統控制器929執行系統控制軟體,其包含複數組指令,用於控制時序、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤和/或支座位置、及特定製程的其他參數。儲存在與控制器929相關聯的記憶體裝置上的其他電腦程式可在一些實施例中加以使用。
通常,可具有與控制器929相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
系統控制邏輯可以任何適合方式加以建構。一般而言,該邏輯可以硬體及/或軟體加以設計或建構。控制驅動電路的指令可加以硬編碼或提供為軟體。指令可藉由「編程」加以提供。此編程係理解為包含任何形式的邏輯,包含數位訊號處理器中的硬編碼、特殊應用積體電路、及具有實施為硬體的特定演算法的其他裝置。編程亦理解為包含軟體獲韌體指令,其可在一般用途處理器上執行。系統控制軟體可以任何電腦可讀程式語言加以編碼。
用於控制製程順序中製程的電腦程式碼可以任何習知的電腦可讀程式語言加以撰寫:例如組合語言、C、C++、Pascal、Fortran或其他者。經編譯的目的碼或腳本係藉由處理器加以執行,以執行程式中所確定的工作。此外如所指示的,程式碼亦可加以硬編碼。
控制器參數係相關於製程條件,例如製程氣體成分和流率、溫度、壓力、冷卻氣體壓力、基板壓力、及腔室壁溫度。這些參數以配方形式提供給使用者,且可利用使用者介面輸入。監測製程的訊號可藉由系統控制器929的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理系統900的類比和數位輸出連接部加以輸出。
系統軟體可以許多不同方式加以設計或建構。例如,可撰寫各種腔室元件子程式或控制物件,以控制根據所揭露實施例執行製程所需的腔室元件的操作。用於此目的之程式或程式片段的例子,包含基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼。
在一些實施方式中,控制器929為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理機台、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓支座、氣體氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器829編程以控制本說明書中所揭露的製程之任一者,包含處理氣體之遞送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、在一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣義來說,控制器829/929可定義為具有各種積體電路、邏輯、記憶體、和/或軟體的電子設備,其接收指令、發送指令、控制操作、啟動清潔操作、啟動終點量測等等。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義作特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。程式指令可為指令,以各種個別設定(或程式檔案)形式與控制器連通,定義對於系統或對於半導體晶圓上用於執行特定製程的操作參數。在一些實施例中,操作參數可為由製程工程師所定義配方的一部分,以在一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間達成一個以上處理步驟。
在一些實施方式中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連接至系統、或以上組合。舉例來說,控制器可在「雲端」或為晶圓廠主機電腦系統的全部或一部分,其可允許晶圓處理的遠端存取。電腦可允許對系統的遠端存取以監測製造操作的目前進展,檢查過去製造操作的歷史,檢查來自複數製造操作的趨勢或效能指標,改變目前處理的參數,設定目前處理之後的處理步驟,或啟動新的製程。在一些範例中,遠端電腦(例如一伺服器)可透過一網路對系統提供製程配方,該網路包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數和/或設定的輸入或編程,該參數和/或設定接著從遠端電腦輸送至系統。在一些例子中,控制器接收呈資料形式的指令,該資料具體指定在一個以上操作期間對於各個所執行處理步驟的參數。應理解的是,參數可針對待執行之製程類型、以及控制器建構成介接或控制之機台的類型。因此如上所述,控制器可為分散式的,例如藉由包含一個以上分散的控制器,其由網路連接在一起且朝共同目的工作,例如此處所述製程和控制。用於此目的之分散式控制器的例子可為與位在遠端的一個以上積體電路(例如在平台層級或作為遠端電腦的一部份)連通之在腔室上的一個以上積體電路,其結合以控制腔室上的製程。
在沒有限制的情況下,例示系統可包含電漿蝕刻腔室、機台或模組、沉積腔室、機台或模組、旋轉清洗腔室、機台或模組、金屬電鍍腔室、機台或模組、清潔腔室、機台或模組、斜邊蝕刻腔室、機台或模組、物理氣相沉積(PVD)腔室、機台或模組、化學氣相沉積(CVD)腔室、機台或模組、原子層沉積(ALD)腔室、機台或模組、原子層蝕刻(ALE)腔室、機台或模組、離子植布腔室、機台或模組、軌道腔室、機台或模組、及任何其他半導體處理系統,其可能關聯於或使用於半導體晶圓的製造和/或生產。
如上所述,取決於由叢聚機台執行的一個以上製程步驟,控制器可連通以下一者以上:其他機台電路或模組、其他機台元件、叢聚機台、其他機台介面、鄰接機台、附近機台、位於整個工廠的機台、主電腦、另一控制器、將晶圓容器攜帶往返在半導體製造工廠中的機台位置和/裝載埠之材料運送部中所使用的機台。
上述設備/製程可結合微影圖案化機台或製程而加以使用,舉例來說,用於製造或生產半導體元件、顯示器、LED、光伏板等等。通常,雖非必要,此等機台/製程可在一共同的製造設施中一起加以使用或進行。一膜的微影圖案化通常包含一些或所有以下操作,每一操作係以若干個可能的機台進行:(1)利用旋塗或噴塗機台,塗佈光阻於工件(即基板)之上;(2)使用一熱板或爐或UV固化機台固化光阻;(3)使用例如晶圓步進器之機台,將光阻暴露於可見光或UV或X光;(4)使用例如濕台之機台,將光阻顯影以選擇性移除光阻且藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台,將光阻圖案轉移至下層膜或工件之中;及(6)使用例如RF或微波電漿光阻剝除器之機台,將光阻移除。
結論
雖然以清楚理解為目的已詳細地描述前述實施例,吾人理解,在隨附申請專利範圍之範疇內可實施若干變化和修改。應注意的是,有許多替代方式實施本案實施例的製程、系統和設備。因此,本案實施例係視為例示性而非限定性,且該等實施例不限定於此處提供的細節。
100‧‧‧基板
101‧‧‧第一核心
103‧‧‧第二核心
105‧‧‧第三核心
107‧‧‧目標層
109‧‧‧第一保形膜
113‧‧‧第二核心
115‧‧‧第三核心
119‧‧‧第一間隔物
120‧‧‧第二保形膜
121‧‧‧第二間隔物
125‧‧‧外廓
127‧‧‧目標層
135‧‧‧第三核心
407‧‧‧目標層
415‧‧‧第三核心
420‧‧‧保形膜
421‧‧‧間隔物
425‧‧‧外廓
427‧‧‧目標層
435‧‧‧第三核心
600‧‧‧製程站
601a‧‧‧反應物輸送系統
602‧‧‧製程腔室
603‧‧‧氣化點
604‧‧‧混合容器
606‧‧‧噴淋頭
607‧‧‧微容積
608‧‧‧卡盤或支座
610‧‧‧加熱器
612‧‧‧基板
614‧‧‧射頻(RF)電源供應器
616‧‧‧匹配網路
618‧‧‧蝶形閥
620‧‧‧混合容器入口閥
650‧‧‧電腦控制器
700‧‧‧處理機台
702‧‧‧入站負載鎖室
704‧‧‧出站負載鎖室
706‧‧‧機器人
708‧‧‧晶圓盒
710‧‧‧大氣埠
712‧‧‧支座
714‧‧‧處理腔室
716‧‧‧腔室搬運埠
718‧‧‧支座
750‧‧‧控制器
752‧‧‧處理器
754‧‧‧大量儲存裝置
756‧‧‧記憶體裝置
758‧‧‧軟體
790‧‧‧晶圓搬運系統
800‧‧‧系統
801‧‧‧晶圓來源模組
803‧‧‧搬移模組
807‧‧‧模組
809、810‧‧‧反應器
811、813、815、817‧‧‧站
819‧‧‧大氣壓搬移腔室
821‧‧‧負載鎖室
829‧‧‧控制器
900‧‧‧系統
901‧‧‧晶圓來源模組
903‧‧‧搬移模組
904‧‧‧大氣壓機器人
905‧‧‧第二晶圓搬移裝置
907、908、909‧‧‧反應器
911、913、915、917‧‧‧站
919‧‧‧大氣壓搬移腔室
921‧‧‧負載鎖室
929‧‧‧系統控制器
圖1A-1I為在四重圖案化方案的一例子中基板的示意圖。
圖2為原子層蝕刻一個例子的示意圖。
圖3為製程流程圖,描繪根據若干揭露實施例所執行方法的操作。
圖4A-4E為在根據若干揭露實施例所執行的四重圖案化方案的例子中的基板的示意圖。
圖5為時序圖,描繪根據若干揭露實施例所執行的操作的例子。
圖6為根據若干揭露實施例或適於執行若干揭露實施例之例示製程腔室的示意圖。
圖7為根據若干實施例或適於執行若干揭露實施例之例示製程機台的示意圖。
圖8為根據若干實施例或適於執行依據若干揭露實施例的製程之處理系統的方塊圖。
圖9為根據若干實施例或適於執行依據若干揭露實施例的製程之替代處理系統的方塊圖。
Claims (26)
- 一種半導體基板的處理方法,該方法 包含: 對一製程腔室提供一半導體基板,該半導體基板包含在一含碳材料中的特徵部的圖案;及 藉由原子層蝕刻,修整在該含碳材料中的該等特徵部,以縮減臨界尺寸並形成具有實質垂直側壁的經修整的含碳特徵部,該原子層蝕刻包含: 將在該含碳材料中的該等特徵部的表面,在無電漿情況下暴露於一含氧氣體,以將該含碳材料的表面改質而形成該含碳材料的一改質表面;及 將該含碳材料的該改質表面暴露於一惰性氣體並點燃電漿,以移除該含碳材料的該改質表面並形成該等經修整的含碳特徵部。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該含氧氣體係選自由氧、臭氧、水蒸氣、一氧化二氮、一氧化碳、甲酸蒸氣、二氧化碳、及前述組合所組成的群組。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該惰性氣體係選自由氦、氮、氬、及前述組合所組成的群組。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該含碳材料係選自由旋塗碳、光阻、及非晶碳所組成的群組。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該製程腔室係設定於約1托與約10托之間的腔室壓力。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該電漿係使用介於約50 W與250 W之間的電漿功率加以點燃。
- 如申請專利範圍第1項之半導體基板的處理方法,更包含:在將在該含碳材料中的該等特徵部的表面在無電漿情況下暴露於該含氧氣體的該步驟與將該含碳材料的該改質表面暴露於該惰性氣體並點燃該電漿的該步驟之間,驅淨該製程腔室。
- 如申請專利範圍第7項之半導體基板的處理方法,其中將該製程腔室加以驅淨介於約0.1秒與約0.5秒之間的持續時間。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該原子層蝕刻更包含循環地重複將在該含碳材料中的該等特徵部的表面在無電漿情況下暴露於該含氧氣體的該步驟與將該含碳材料的該改質表面暴露於該惰性氣體並點燃該電漿的該步驟。
- 如申請專利範圍第9項之半導體基板的處理方法,其中執行約5個循環與約100個循環之間。
- 如申請專利範圍第9項之半導體基板的處理方法,更包含在形成該等經修整的含碳特徵部之後,在不破壞真空的情況下,藉由原子層沉積在該等經修整的含碳特徵部之上保形地沉積一膜。
- 如申請專利範圍第11項之半導體基板的處理方法,其中修整在該含碳材料中的該等特徵部之該步驟與在該等經修整的含碳特徵部之上保形地沉積該膜的該步驟係在相同腔室中執行。
- 如申請專利範圍第11項之半導體基板的處理方法,其中保形地沉積之該膜包含選自由矽氧化物、矽氮化物、矽碳化物、及金屬氧化物所組成群組的材料。
- 如申請專利範圍第1項之半導體基板的處理方法,更包含在提供該半導體基板的該步驟之後與在修整在該含碳材料中的該等特徵部之前,將該基板加熱至介於約35 ℃與約100 ℃之間的溫度。
- 如申請專利範圍第1項之半導體基板的處理方法,其中於提供至該製程腔室的該半導體基板上在該含碳材料之中的特徵部的該圖案的深寬比係在約6:1與約10:1之間。
- 如申請專利範圍第1項或第2項之半導體基板的處理方法,其中將在該含碳材料中的該等特徵部的表面暴露於該含氧氣體的該步驟包含:導入一載體氣體,該載體氣體選自由氦、氮、氬、及前述組合所組成的群組。
- 如申請專利範圍第1項或第2項之半導體基板的處理方法,其中在將該含碳材料的該改質表面暴露於一惰性氣體的該步驟中點燃的該電漿係產生自一或多個高能來源,該一或多個高能來源係選自由27 MHz電容耦合電漿、13 MHz電容耦合電漿、電感耦合電漿、及遠程電漿所組成的群組。
- 如申請專利範圍第1項之半導體基板的處理方法,其中該修正步驟包含以下其中一者以上: 在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電容耦合電漿(CCP),其中該含氧氣體及該惰性氣體經由噴淋頭輸送;在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電感耦合電漿(ICP),且其中該含氧氣體及該惰性氣體經由噴淋頭輸送;在有或無接地支座的情況下噴淋頭輸送的該含氧氣體,其中該惰性氣體亦經由噴淋頭輸送;在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz任何組合的混合頻率CCP電漿;在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz的支座輸送的CCP電漿;及在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz的混合頻率支座輸送CCP電漿。
- 一種半導體基板處理設備,該設備包含: 一個以上製程腔室,各製程腔室包含一支座; 一個以上出口,用於連結至真空; 連結至一個以上含氧氣體來源及相關聯的含氧氣體流量控制硬體的一個以上氣體入口; 連結至一個以上惰性氣體來源及相關聯的惰性氣體流量控制硬體的一個以上氣體入口; 一電漿產生器;及 一控制器,用於控制在該設備中的操作,該控制器包含至少一處理器及一記憶體,俾使該至少一處理器與該記憶體彼此通訊連接,該至少一處理器至少操作性連接至流量控制硬體,且該記憶體儲存用於以下操作的電腦可執行指令: 在無電漿情況下,將一含氧氣體導入一第一製程腔室;及 在不破壞真空的情況下,將一惰性氣體導入至該第一製程腔室並點燃一電漿。
- 如申請專利範圍第19項之半導體基板處理設備,其中該設備更包含:連結至含矽前驅物氣體來源的一個以上氣體入口、及連結至用於與含矽前驅物氣體反應之含氧反應物的一個以上氣體入口;且該記憶體更儲存電腦可執行指令用於:將申請專利範圍第19項的該等操作重複n個循環,其中n為介於且包含5和100的整數;且之後,在不破壞真空的情況下,導入該含矽前驅物氣體與含氧反應物的交替脈衝,以藉由原子層沉積而沉積矽氧化物膜。
- 如申請專利範圍第19項或第20項之半導體基板處理設備,其中該電漿產生器包含一或多個高能來源,其選自由27 MHz及/或13 MHz電容耦合電漿、電感耦合電漿、及遠程電漿所組成的群組。
- 如申請專利範圍第19項或第20項之半導體基板處理設備,其中該設備係建構成用於以下其中以上以上: 在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電容耦合電漿(CCP),其中該含氧氣體及該惰性氣體經由噴淋頭輸送;在接地的支座之情況下,於13.56、27、或60 MHz的噴淋頭輸送的電感耦合電漿(ICP),且其中該含氧氣體及該惰性氣體經由噴淋頭輸送;在有或無接地支座的情況下噴淋頭輸送的該含氧氣體,其中該惰性氣體亦經由噴淋頭輸送;在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz任何組合的混合頻率CCP電漿;在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz的支座輸送的CCP電漿;及在接地的支座且經由噴淋頭輸送該含氧氣體及該惰性氣體之情況下,於13.56、27、或60 MHz的混合頻率支座輸送CCP電漿。
- 如申請專利範圍第19項或第20項之半導體基板處理設備,其中該設備更包含光發射光譜感測器。
- 如申請專利範圍第19項或第20項之半導體基板處理設備,其中該電腦可執行指令更包含指令用於將在一含碳材料中的特徵部的表面暴露於該含氧氣體以形成該含碳材料的一改質表面,其中該含氧氣體係選自由氧、臭氧、水蒸氣、一氧化二氮、一氧化碳、甲酸蒸氣、二氧化碳、及前述組合所組成的群組。
- 如申請專利範圍第24項之半導體基板處理設備,其中該電腦可執行指令更包含指令用於將該含碳材料的該改質表面暴露於該惰性氣體並點燃該電漿,其中該惰性氣體係選自由氦、氮、氬、及前述組合所組成的群組。
- 如申請專利範圍第25項之半導體基板處理設備,其中該電腦可執行指令更包含指令用於在將在該含碳材料中的該等特徵部的表面在無電漿情況下暴露於該含氧氣體的該操作與將該含碳材料的該改質表面暴露於該惰性氣體並點燃該電漿的該操作之間,驅淨該製程腔室。
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762489113P | 2017-04-24 | 2017-04-24 | |
US62/489,113 | 2017-04-24 | ||
US15/582,359 | 2017-04-28 | ||
US15/582,359 US9997371B1 (en) | 2017-04-24 | 2017-04-28 | Atomic layer etch methods and hardware for patterning applications |
US201862624520P | 2018-01-31 | 2018-01-31 | |
US62/624,520 | 2018-01-31 | ||
US15/955,099 | 2018-04-17 | ||
US15/955,099 US10832909B2 (en) | 2017-04-24 | 2018-04-17 | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201903886A true TW201903886A (zh) | 2019-01-16 |
TWI780145B TWI780145B (zh) | 2022-10-11 |
Family
ID=63854077
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107113670A TWI780145B (zh) | 2017-04-24 | 2018-04-23 | 用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10832909B2 (zh) |
JP (2) | JP7165506B2 (zh) |
KR (1) | KR102625972B1 (zh) |
CN (1) | CN108847386B (zh) |
SG (1) | SG10201803376RA (zh) |
TW (1) | TWI780145B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI792896B (zh) * | 2021-02-25 | 2023-02-11 | 日商斯庫林集團股份有限公司 | 基板處理方法及基板處理裝置 |
TWI826704B (zh) * | 2019-07-17 | 2023-12-21 | 荷蘭商Asm Ip私人控股有限公司 | 自由基輔助引燃電漿系統和方法 |
TWI841732B (zh) * | 2019-05-24 | 2024-05-11 | 美商應用材料股份有限公司 | 用於對準遮罩和基板的方法 |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10304680B1 (en) * | 2017-12-22 | 2019-05-28 | Macronix International Co., Ltd. | Fabricating semiconductor devices having patterns with different feature sizes |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
US10714380B2 (en) * | 2018-10-26 | 2020-07-14 | Globalfoundries Inc. | Method of forming smooth sidewall structures using spacer materials |
WO2020160016A1 (en) | 2019-01-29 | 2020-08-06 | Lam Research Corporation | Sacrificial protection layer for environmentally sensitive surfaces of substrates |
CN111211046B (zh) * | 2019-07-08 | 2020-12-11 | 合肥晶合集成电路有限公司 | 预处理方法、金属硅化物的形成方法以及半导体处理装置 |
KR102224128B1 (ko) * | 2019-08-05 | 2021-03-09 | 한양대학교 산학협력단 | 탄소 함유 박막의 증착방법 |
CN112802736A (zh) * | 2019-11-14 | 2021-05-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN113140458B (zh) * | 2020-01-17 | 2024-03-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US11862473B2 (en) * | 2020-05-12 | 2024-01-02 | Lam Research Corporation | Controlled degradation of a stimuli-responsive polymer film |
US11823910B2 (en) * | 2020-07-31 | 2023-11-21 | Tokyo Electron Limited | Systems and methods for improving planarity using selective atomic layer etching (ALE) |
CN116210072A (zh) | 2020-09-01 | 2023-06-02 | 株式会社Adeka | 蚀刻方法 |
CN112614775A (zh) * | 2020-12-16 | 2021-04-06 | 上海华力微电子有限公司 | 半导体器件及其制造方法 |
US11640905B2 (en) * | 2020-12-17 | 2023-05-02 | Applied Materials, Inc. | Plasma enhanced deposition of silicon-containing films at low temperature |
TW202316488A (zh) * | 2021-04-06 | 2023-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、裝置結構、及形成結構之系統 |
US20240355624A1 (en) * | 2021-08-24 | 2024-10-24 | Lam Research Corporation | In-situ core protection in multi-patterning |
Family Cites Families (129)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH061769B2 (ja) | 1983-08-10 | 1994-01-05 | 株式会社日立製作所 | アルミナ膜のパターニング方法 |
US4756794A (en) | 1987-08-31 | 1988-07-12 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer etching |
JPH03133128A (ja) | 1989-10-19 | 1991-06-06 | Res Dev Corp Of Japan | ディジタル・エッチング方法 |
US5298451A (en) | 1991-04-30 | 1994-03-29 | Texas Instruments Incorporated | Recessed and sidewall-sealed poly-buffered LOCOS isolation methods |
JPH06151382A (ja) | 1992-11-11 | 1994-05-31 | Toshiba Corp | ドライエッチング方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
JPH06326060A (ja) | 1993-05-12 | 1994-11-25 | Hitachi Ltd | 固体表面加工方法 |
EP0635884A1 (de) | 1993-07-13 | 1995-01-25 | Siemens Aktiengesellschaft | Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie |
DE19681602T1 (de) | 1995-10-19 | 1998-11-26 | Massachusetts Inst Technology | Verfahren zum Entfernen von Metall |
US6323132B1 (en) | 1998-01-13 | 2001-11-27 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
EP1048064A1 (en) | 1998-01-13 | 2000-11-02 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6177353B1 (en) | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
JP2001255670A (ja) * | 2000-03-10 | 2001-09-21 | Mitsubishi Electric Corp | 微細レジストパターン形成方法および装置 |
US6517602B2 (en) | 2000-03-14 | 2003-02-11 | Hitachi Metals, Ltd | Solder ball and method for producing same |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
KR100463237B1 (ko) * | 2000-06-28 | 2004-12-23 | 주식회사 하이닉스반도체 | 감광막패턴의 형성 방법 |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6527855B2 (en) | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
KR100878103B1 (ko) | 2001-05-04 | 2009-01-14 | 도쿄엘렉트론가부시키가이샤 | 순차적 증착 및 에칭에 의한 이온화된 pvd |
US6562700B1 (en) | 2001-05-31 | 2003-05-13 | Lsi Logic Corporation | Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal |
WO2003098662A2 (en) | 2002-05-14 | 2003-11-27 | Tokyo Electron Limited | PLASMA ETCHING OF Cu-CONTAINING LAYERS |
US6884730B2 (en) | 2002-07-02 | 2005-04-26 | Headway Technologies, Inc. | Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
JP3878577B2 (ja) * | 2003-06-06 | 2007-02-07 | 株式会社東芝 | 半導体装置の製造方法 |
TWI303090B (en) | 2002-08-13 | 2008-11-11 | Lam Res Corp | Method for in-situ monitoring of patterned substrate processing using reflectometry |
US6933239B2 (en) | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
JP2004332045A (ja) | 2003-05-07 | 2004-11-25 | Renesas Technology Corp | 多層膜材料のドライエッチング方法 |
US7067407B2 (en) | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US7018469B2 (en) | 2003-09-23 | 2006-03-28 | Micron Technology, Inc. | Atomic layer deposition methods of forming silicon dioxide comprising layers |
US20050233555A1 (en) | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7115522B2 (en) | 2004-07-09 | 2006-10-03 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
CN100576474C (zh) | 2004-07-20 | 2009-12-30 | 应用材料股份有限公司 | 以钽前驱物taimata进行含钽材料的原子层沉积 |
US7196955B2 (en) | 2005-01-12 | 2007-03-27 | Hewlett-Packard Development Company, L.P. | Hardmasks for providing thermally assisted switching of magnetic memory elements |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
JP4860219B2 (ja) | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
US7214626B2 (en) | 2005-08-24 | 2007-05-08 | United Microelectronics Corp. | Etching process for decreasing mask defect |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070095367A1 (en) | 2005-10-28 | 2007-05-03 | Yaxin Wang | Apparatus and method for atomic layer cleaning and polishing |
US7662718B2 (en) | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US20070238301A1 (en) | 2006-03-28 | 2007-10-11 | Cabral Stephen H | Batch processing system and method for performing chemical oxide removal |
US7368393B2 (en) | 2006-04-20 | 2008-05-06 | International Business Machines Corporation | Chemical oxide removal of plasma damaged SiCOH low k dielectrics |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
KR100905278B1 (ko) | 2007-07-19 | 2009-06-29 | 주식회사 아이피에스 | 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법 |
KR101330707B1 (ko) | 2007-07-19 | 2013-11-19 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US8481423B2 (en) | 2007-09-19 | 2013-07-09 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics |
US7948044B2 (en) | 2008-04-09 | 2011-05-24 | Magic Technologies, Inc. | Low switching current MTJ element for ultra-high STT-RAM and a method for making the same |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
JP5128421B2 (ja) * | 2008-09-04 | 2013-01-23 | 東京エレクトロン株式会社 | プラズマ処理方法およびレジストパターンの改質方法 |
JP5085595B2 (ja) | 2008-09-08 | 2012-11-28 | 株式会社東芝 | コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。 |
JP5275094B2 (ja) * | 2009-03-13 | 2013-08-28 | 東京エレクトロン株式会社 | 基板処理方法 |
US7759239B1 (en) | 2009-05-05 | 2010-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of reducing a critical dimension of a semiconductor device |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
JP5813303B2 (ja) | 2009-11-20 | 2015-11-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
WO2012023537A1 (ja) | 2010-08-19 | 2012-02-23 | 株式会社 アルバック | ドライエッチング方法及び半導体装置の製造方法 |
US8524612B2 (en) * | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120100308A1 (en) | 2010-10-25 | 2012-04-26 | Asm America, Inc. | Ternary metal alloys with tunable stoichiometries |
US8546263B2 (en) | 2011-04-27 | 2013-10-01 | Applied Materials, Inc. | Method of patterning of magnetic tunnel junctions |
WO2012146310A1 (en) * | 2011-04-29 | 2012-11-01 | Applied Materials, Inc. | Devices and methods for passivating a flexible substrate in a coating process |
FR2975823B1 (fr) | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc |
US8617411B2 (en) | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
TWI627303B (zh) * | 2011-11-04 | 2018-06-21 | Asm國際股份有限公司 | 將摻雜氧化矽沉積在反應室內的基底上的方法 |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US20130129922A1 (en) | 2011-11-21 | 2013-05-23 | Qualcomm Mems Technologies, Inc. | Batch processing for electromechanical systems and equipment for same |
US8633115B2 (en) | 2011-11-30 | 2014-01-21 | Applied Materials, Inc. | Methods for atomic layer etching |
US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
JP2013235912A (ja) | 2012-05-08 | 2013-11-21 | Tokyo Electron Ltd | 被処理基体をエッチングする方法、及びプラズマエッチング装置 |
US8802572B2 (en) | 2012-07-10 | 2014-08-12 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
JP2014049466A (ja) | 2012-08-29 | 2014-03-17 | Tokyo Electron Ltd | エッチング処理方法及び基板処理装置 |
JP6035117B2 (ja) | 2012-11-09 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
JP5918108B2 (ja) | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US20140349469A1 (en) | 2013-05-22 | 2014-11-27 | Qualcomm Mems Technologies, Inc. | Processing for electromechanical systems and equipment for same |
JP6170754B2 (ja) | 2013-06-18 | 2017-07-26 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US8940646B1 (en) | 2013-07-12 | 2015-01-27 | Lam Research Corporation | Sequential precursor dosing in an ALD multi-station/batch reactor |
US9362163B2 (en) * | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
KR102394994B1 (ko) | 2013-09-04 | 2022-05-04 | 도쿄엘렉트론가부시키가이샤 | 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 |
US9257431B2 (en) | 2013-09-25 | 2016-02-09 | Micron Technology, Inc. | Memory cell with independently-sized electrode |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
JP6347695B2 (ja) | 2013-11-20 | 2018-06-27 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
CN105917445B (zh) * | 2014-01-13 | 2020-05-22 | 应用材料公司 | 具有空间原子层沉积的自对准式双图案化 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
KR20160136303A (ko) | 2014-03-26 | 2016-11-29 | 도레이 카부시키가이샤 | 반도체 장치의 제조 방법 및 반도체 장치 |
US9257638B2 (en) | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
JP6159757B2 (ja) | 2014-07-10 | 2017-07-05 | 東京エレクトロン株式会社 | 基板の高精度エッチングのプラズマ処理方法 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9675811B2 (en) | 2014-08-28 | 2017-06-13 | Cardiac Pacemakers, Inc. | Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display |
US9520294B2 (en) | 2014-08-29 | 2016-12-13 | Applied Materials, Inc. | Atomic layer etch process using an electron beam |
US9627608B2 (en) | 2014-09-11 | 2017-04-18 | Lam Research Corporation | Dielectric repair for emerging memory devices |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
TWI750120B (zh) | 2015-06-05 | 2021-12-21 | 美商蘭姆研究公司 | GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻 |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
US9659771B2 (en) | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9620376B2 (en) * | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9520821B1 (en) | 2015-08-19 | 2016-12-13 | Nidec Motor Corporation | System and method for optimizing flux regulation in electric motors |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US9633896B1 (en) * | 2015-10-09 | 2017-04-25 | Lam Research Corporation | Methods for formation of low-k aluminum-containing etch stop films |
KR20170050056A (ko) | 2015-10-29 | 2017-05-11 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10494715B2 (en) | 2017-04-28 | 2019-12-03 | Lam Research Corporation | Atomic layer clean for removal of photoresist patterning scum |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
-
2018
- 2018-04-17 US US15/955,099 patent/US10832909B2/en active Active
- 2018-04-23 TW TW107113670A patent/TWI780145B/zh active
- 2018-04-23 JP JP2018081932A patent/JP7165506B2/ja active Active
- 2018-04-23 KR KR1020180046846A patent/KR102625972B1/ko active IP Right Grant
- 2018-04-23 SG SG10201803376RA patent/SG10201803376RA/en unknown
- 2018-04-24 CN CN201810371597.9A patent/CN108847386B/zh active Active
-
2022
- 2022-10-24 JP JP2022169566A patent/JP7460727B2/ja active Active
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI841732B (zh) * | 2019-05-24 | 2024-05-11 | 美商應用材料股份有限公司 | 用於對準遮罩和基板的方法 |
TWI826704B (zh) * | 2019-07-17 | 2023-12-21 | 荷蘭商Asm Ip私人控股有限公司 | 自由基輔助引燃電漿系統和方法 |
TWI792896B (zh) * | 2021-02-25 | 2023-02-11 | 日商斯庫林集團股份有限公司 | 基板處理方法及基板處理裝置 |
US12065746B2 (en) | 2021-02-25 | 2024-08-20 | SCREEN Holdings Co., Ltd. | Substrate processing method and substrate processing apparatus |
Also Published As
Publication number | Publication date |
---|---|
US20180308695A1 (en) | 2018-10-25 |
JP2018186269A (ja) | 2018-11-22 |
KR20180119133A (ko) | 2018-11-01 |
TWI780145B (zh) | 2022-10-11 |
CN108847386A (zh) | 2018-11-20 |
US10832909B2 (en) | 2020-11-10 |
SG10201803376RA (en) | 2018-11-29 |
JP7165506B2 (ja) | 2022-11-04 |
CN108847386B (zh) | 2024-01-30 |
JP2023012492A (ja) | 2023-01-25 |
JP7460727B2 (ja) | 2024-04-02 |
KR102625972B1 (ko) | 2024-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI780145B (zh) | 用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源 | |
US9997371B1 (en) | Atomic layer etch methods and hardware for patterning applications | |
KR102612832B1 (ko) | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 | |
CN110892332B (zh) | 除去光致抗蚀剂图案化浮渣的原子层清洁 | |
CN107045969B (zh) | 用于图案化非挥发性金属的室 | |
US11286560B2 (en) | Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching | |
TW202240656A (zh) | 在半導體裝置製造中之錫氧化物薄膜間隔件 | |
TW201834008A (zh) | 用於高模數原子層沉積二氧化矽間隔件的方法 | |
TW201546314A (zh) | 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗 | |
TW201413044A (zh) | 高氣壓、高電力電漿活化保形膜沉積 | |
US20230227970A1 (en) | Removal of tin oxide in chamber cleaning | |
WO2020243342A1 (en) | High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf | |
KR20200127261A (ko) | 탄소 막들의 원자 층 증착 | |
US20240030028A1 (en) | High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing | |
WO2023196846A1 (en) | Hydrogen reduction in amorphous carbon films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent |