JP5113830B2 - アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体 - Google Patents

アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体 Download PDF

Info

Publication number
JP5113830B2
JP5113830B2 JP2009501210A JP2009501210A JP5113830B2 JP 5113830 B2 JP5113830 B2 JP 5113830B2 JP 2009501210 A JP2009501210 A JP 2009501210A JP 2009501210 A JP2009501210 A JP 2009501210A JP 5113830 B2 JP5113830 B2 JP 5113830B2
Authority
JP
Japan
Prior art keywords
film
amorphous carbon
forming
carbon film
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009501210A
Other languages
English (en)
Other versions
JPWO2008105321A1 (ja
Inventor
拓 石川
唯一 村井
英介 森崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009501210A priority Critical patent/JP5113830B2/ja
Publication of JPWO2008105321A1 publication Critical patent/JPWO2008105321A1/ja
Application granted granted Critical
Publication of JP5113830B2 publication Critical patent/JP5113830B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

本発明は、半導体装置に適用されるアモルファスカーボン膜の形成方法、半導体装置の製造方法、およびコンピュータ可読記憶媒体に関する。
半導体デバイスの製造プロセスにおいては、回路パターン形成のために、フオトリソグラフィー技術を用いてパターン化されたレジストをマスクとしてプラズマエッチングが行われている。クリティカルディメンション(CD)が45nmの世代では、微細化に対応してArFレジストが使用されるが、プラズマに対する耐性が弱いという問題がある。この問題を克服する技術として、ArFレジストの下にSiO膜とプラズマ耐性のあるレジストを積層したマスク(多層レジスト)を用いたドライ現像という方法が採用されている。45nmよりも微細化が進んだ世代では、ArFレジストの膜厚が200nmと薄くなっており、この厚さがドライ現像の基準となる。すなわち、このレジスト膜厚でプラズマエッチングできるSiO膜の厚さと、さらにこのSiO膜厚でプラズマエッチングできる下層レジストの厚さは、300nm程度である。この膜厚の下層レジストでは被エッチング膜の膜厚に対して、十分なプラズマ耐性を確保することができず、高精度のエッチングを達成することができない。そのため、このような下層レジスト膜の代わりに、より高い耐エッチング性を有する膜が求められている。
ところで、特許文献1には、多層レジストに用いられるSiO膜の代わりや、反射防止層として、炭化水素ガスと不活性ガスを用いたCVDにより堆積したアモルファスカーボン膜を適用する技術が開示されており、このようなアモルファスカーボン膜を上記の用途に適用することが考えられる。
特開2002−12972号公報
しかし、特許文献1に記載の方法により形成されたアモルファスカーボン膜を上記の用途に適用した場合には、エッチング耐性が十分でないことが判明した。この場合において、アモルファスカーボンの堆積温度を高く設定(例えば500℃〜600℃)することにより、エッチング耐性の向上を図ることも考えられるが、Cu配線が形成された後のバックエンドプロセスなどの低温プロセスには、そのような高温の堆積温度を必要とするプロセスを適用することができない。
本発明はかかる事情に鑑みてなされたものであって、その目的は、エッチング耐性が高く、低温での堆積が可能で低温プロセスにも適用することができるアモルファスカーボン膜の形成方法を提供することにある。
本発明の他の目的は、エッチング耐性の高いアモルファスカーボン膜を提供することにある。
本発明のさらに他の目的は、エッチング耐性の高いアモルファスカーボン膜を備えた多層レジスト膜を提供することにある。
本発明のさらに他の目的は、上記のようなアモルファスカーボン膜の形成工程を含む半導体装置の製造方法を提供することにある。
本発明のさらに他の目的は、上記アモルファスカーボン膜の形成方法を堆積装置に実施させるプログラムを記憶したコンピュータ可読記憶媒体を提供することにある。
上記の目的を達成するために本発明者らが鋭意検討を重ねた結果、(1)アモルファスカーボン膜中における炭素原子の含有率が高いほどエッチング耐性が高いこと、(2)炭素原子の含有率を高めるためには水素原子の含有率を低下させる必要があること、(3)CVD法における炭素を供給するガスとして、炭化水素ガスに代えて、水素原子を分子中に含まない一酸化炭素を使用することにより、水素原子の含有率の極めて低いアモルファスカーボン膜が形成されることを見出し、本発明を完成するに至った。
本発明の第1の観点では、処理容器内に基板を配置する工程と、処理容器内に一酸化炭素ガスを含む処理ガスを供給する工程と、処理容器内で一酸化炭素ガスを分解して基板上にアモルファスカーボンを堆積する工程とを有する、アモルファスカーボン膜の形成方法を提供する。
上記第1の観点において、一酸化炭素ガスはプラズマで分解して良い。
本発明の第2の観点では、プラズマCVD装置を用いて基板上にアモルファスカーボン膜を形成するアモルファスカーボン膜の形成方法を提供する。この形成方法は、処理容器内に基板を配置する工程と、処理容器内に一酸化炭素ガスを含む処理ガスを供給する工程と、プラズマを生成し、そのプラズマにより一酸化炭素ガスを分解して基板上にアモルファスカーボンを堆積する工程とを有する。
上記第2の観点において、処理容器内に上部電極および下部電極が設けられ、基板を配置する工程においては、基板が下部電極に配置され、アモルファスカーボンを堆積する工程においては、少なくとも上部電極に高周波電力が印加されることが好ましい。この場合に、上部電極が炭素で作製されることが好ましい。また、アモルファスカーボンを堆積する工程において、上部電極にプラズマ形成用の高周波電力が印加され、下部電極に高周波バイアスが印加されることが有益である。また、処理ガスは不活性ガスを更に含むことができ、この不活性ガスはHeガスであって良い。さらに、アモルファスカーボンを堆積する工程において、基板の温度が350℃以下であると好ましい。
本発明の第3の観点では、一酸化炭素ガスを含む処理ガスを用いてCVDにより基板上に形成されたアモルファスカーボン膜を提供する。
上記第3の観点において、アモルファスカーボン膜はプラズマCVDにより形成されてよい。また、アモルファスカーボン膜の水素原子の含有率は20.0atm%以下とすることができ、さらには18.0atm%以下とすることができる。
本発明の第4の観点では、エッチングの対象となる膜の上に形成された、上記第3の観点によるアモルファスカーボン膜と、アモルファスカーボン膜の上にシリコン含有材料で形成されるシリコン含有膜と、シリコン含有膜の上に形成されるフォトレジスト膜とを含む多層レジスト膜を提供する。
本発明の第5の観点では、基板上にエッチングの対象となる膜を形成する工程と、エッチングの対象となる膜の上に上述の方法でアモルファスカーボン膜を形成する工程と、アモルファスカーボン膜をエッチングしてエッチングマスクを形成する工程と、エッチングマスクを用いてエッチングの対象となる膜をエッチングして所定の構造を形成する工程とを有する、半導体装置の製造方法を提供する。
本発明の第6の観点では、基板上にエッチングの対象となる膜を形成する工程と、エッチングの対象となる膜の上に上述の方法でアモルファスカーボン膜を形成する工程と、アモルファスカーボン膜の上に、シリコン含有材料からなるシリコン含有膜を形成する工程と、シリコン含有膜の上にフォトレジスト膜を形成する工程と、フォトレジスト膜をパターニングする工程と、パターニングされたフォトレジスト膜を用いてシリコン含有膜をパターンエッチングする工程と、パターンエッチングされたシリコン含有膜を用いてアモルファスカーボン膜をエッチングしてエッチングマスクを形成する工程と、エッチングマスクを用いてエッチングの対象となる膜をエッチングする工程とを有する、半導体装置の製造方法を提供する。
本発明の第7の観点では、コンピュータ上で動作して堆積装置を制御するプログラムが記憶されたコンピュータ可読記憶媒体であって、制御プログラムが、第1または第2の観点の方法が行われるように、コンピュータに堆積装置を制御させるコンピュータ可読記憶媒体を提供する。
本発明の一実施形態によれば、エッチング耐性が高く、低温での成膜が可能で低温プロセスにも適用することができるアモルファスカーボン膜の形成方法が提供される。
本発明の一実施形態に係るアモルファスカーボン膜の成膜方法に好適な堆積装置の一例を示す断面図。 上部電極として炭素電極を用いた場合に堆積レートが上昇するメカニズムを説明するための模式図。 本発明の一実施形態に係るアモルファスカーボン膜の製造方法を適用して得られたアモルファスカーボン膜を用いた半導体装置を製造するための積層構造体を示す断面図。 パターニングされたArFレジストをマスクとし、その下のSiO膜をエッチングした後の積層構造体を示す断面図。 エッチングされたSiO膜をマスクとしてその下のアモルファスカーボン膜をエッチングした後の積層構造体を示す断面図。 エッチングされたアモルファスカーボン膜をマスクとして下地のエッチングの対象となる膜をエッチングした後の積層構造体を示す断面図。
符号の説明
1;チャンバ
2;サセプタ
5;ヒータ
6;ヒータ電源
7:熱電対
10;シャワーヘッド
14;ガス供給機構
16;高周波電源
18;排気装置
24;高周波電源
30;プロセスコントローラ
32;記憶部
100;堆積装置
101;SiC膜
102;SiOC膜
103;SiC膜
104:SiO
105;SiN膜
106;アモルファスカーボン膜
107;SiO
108;BARC
109;ArFレジスト膜
W;半導体ウエハ
本発明の一実施形態によれば、水素を含まない一酸化炭素ガスを分解して基板上にアモルファスカーボン膜を堆積するので、比較的低温であっても、水素原子の含有率の低い、優れたエッチング耐性を有するアモルファスカーボン膜を形成することができる。
また、特殊な装置や高価な処理ガスを使用することなく、通常のプラズマ処理装置により安価な一酸化炭素ガスを使用してアモルファスカーボン膜を形成することができるので、製造コストを高めることなく、水素原子の含有率の低い、エッチング耐性に優れたアモルファスカーボン膜を形成することができる。さらに、上述のように低温での成膜が可能であるので、低温プロセスにも適用することができる。
さらに、上部電極を炭素電極とすれば、一酸化炭素ガスが分解して生成した酸素ラジカルは、炭素電極の炭素により取り除かれて基板上へ供給される炭素量が増加するので、アモルファスカーボン膜の堆積レートを上昇させることができる。また、アモルファスカーボン膜における水素原子の含有率を更に低くすることができる。
さらにまた、本発明の一実施形態によるアモルファスカーボン膜は、水素原子の含有率が低く、相対的に炭素原子の含有率が高くてエッチング耐性に優れている。このような本発明の一実施形態によるアモルファスカーボン膜をエッチングマスクとして用いてエッチングの対象となる膜をエッチングすることにより、良好なエッチング形状と、下地層との関係で高いエッチング選択比とを実現することができる。特に、半導体装置の製造において、従来の多層レジストの下層レジストの代わりに本発明の一実施形態によるアモルファスカーボン膜を用いてエッチングの対象となる膜をエッチングすれば、その結果として生じる構造は、明確に輪郭づけられたエッジを有することができる。
以下、添付図面を参照しながら本発明の実施形態について説明する。
図1は本発明の一実施形態によるアモルファスカーボン膜の形成方法の実施に好適な堆積装置(平行平板型のプラズマCVD装置)の一例を示す断面図である。この堆積装置100は、略円筒状のチャンバ1を有している。
このチャンバ1の内部には、処理の対象であるウエハWを水平に支持するためのサセプタ2が配置されている。サセプタ2は、その中央下部に設けられた円筒状の支持部材3により支持されている。サセプタ2の外縁部にはウエハWをガイドするためのガイドリング4が設けられている。また、サセプタ2にはヒータ5が埋め込まれており、このヒータ5は、必要に応じて、ヒータ電源6から給電されることにより被処理基板であるウエハWを所定の温度に加熱する。サセプタ2には熱電対7が埋設されており、熱電対7により検出された信号によりヒータ5の出力が制御される。サセプタ2の表面近傍には電極8(下部電極)が埋設されている。この電極8には、整合器23を介して高周波電源24が接続されており、必要に応じてこの高周波電源24から電極8にバイアス用の高周波電力が供給される。さらに、サセプタ2には、ウエハWを支持して昇降させるための3本のウエハ支持ピン(図示せず)がサセプタ2の表面に対して突没可能に設けられている。
チャンバ1の天壁1aには、絶縁部材9を介して、上部電極としても機能するシャワーヘッド10が設けられている。このシャワーヘッド10は円筒状であり、内部にガス拡散空間20を有し、上面に処理ガスを導入するガス導入口11、下面に多数のガス吐出口12を有している。シャワーヘッド10のガス導入口11には、ガス配管13を介して、アモルファスカーボン膜を形成するための一酸化炭素ガスを含む処理ガスを供給するガス供給機構14が接続されている。
シャワーヘッド10には、整合器15を介して高周波電源16が接続されており、この高周波電源16から上部電極であるシャワーヘッド10に高周波電力が供給されるようになっている。このように、高周波電源16から高周波電力を供給することにより、シャワーヘッド10を介してチャンバ1内に導入された処理ガスが励起され、チャンバ1内にプラズマが生成される。
チャンバ1の底壁1bには排気管17が接続されており、この排気管17には真空ポンプを含む排気装置18が接続されている。そしてこの排気装置18を作動させることによりチャンバ1内の圧力が所定の真空度まで減圧され得る。チャンバ1の側壁には、ウエハWの搬入出を行うための搬入出口21と、この搬入出口21を開閉するゲートバルブ22とが設けられている。
堆積装置100の各種構成部品又は部材、例えば、ヒータ電源6、ガス供給機構14、高周波電源16、高周波電源24、排気装置18等は、CPUおよびその周辺回路を含むプロセスコントローラ30に接続されて制御される。また、プロセスコントローラ30には、工程管理者が堆積装置100を管理するためにコマンドの入力操作等を行うキーボードや、堆積装置100の動作を表示するディスプレイ等からなるユーザーインターフェース31が接続されている。さらに、プロセスコントローラ30には記憶部32が接続されている。記憶部32は、プロセスコントローラ30が堆積装置100に種々の処理を実行させるプログラムを格納している。プログラムには、堆積装置100で実行される各種処理をプロセスコントローラ30で制御するための制御プログラムや、処理条件に応じて堆積装置100の各構成部を動作させるプログラム(すなわちレシピ)が含まれる。また、これらのプログラムは、コンピュータ可読記憶媒体33に記憶されて、これから記憶部32へダウンロードされる。コンピュータ可読記憶媒体33は、ハードディスク装置(携帯型ハードディスク装置を含む)やフラッシュメモリ等の半導体メモリであってもよいし、CD−ROMやDVD等の光学ディスク、フロッピーディスクなどの磁気ディスク、USBメモリであってもよい。また、レシピなどは、サーバなどの他の装置から回線を介して記憶部72に格納されても良い。
また、記憶部32はコンピュータ可読記憶媒体33から種々のレシピを格納することができ、必要に応じて、ユーザーインターフェース31からの指示等にて特定されたレシピが記憶部32からプロセスコントローラ30へ読み出される。読み出されたレシピがプロセスコントローラ30により実行されて、プロセスコントローラ30の制御下で、堆積装置100での所望の処理が行われる。
次に、以上のように構成された堆積装置100を用いて実施される本実施形態のアモルファスカーボン膜の形成方法について説明する。
まず、ウエハWをチャンバ1内に搬入し、サセプタ2上に載置する。そして、ガス供給機構14からガス配管13およびシャワーヘッド10を介してプラズマ生成ガスとして例えばArガスを流しながら、排気装置18によりチャンバ1内を排気して、チャンバ1内を所定の圧力に維持し、必要に応じてヒータ5によりサセプタ2を加熱する。そして、高周波電源16からシャワーヘッド10に高周波電力を印加することにより、シャワーヘッド10と電極8との間に高周波電界が生じ、これにより処理ガスが励起されてプラズマが生成される。このとき、高周波電源24から電極8に高周波バイアス電力を印加することが好ましい。
その状態で、ガス供給機構14から一酸化炭素ガスを含むガス、例えば一酸化炭素ガスおよび不活性ガスをガス配管13およびシャワーヘッド10を介してチャンバ1内に導入する。
このように一酸化炭素ガスを含む処理ガスをチャンバ1内に導入することにより、一酸化炭素ガスがチャンバ1内でプラズマにより励起され、ウエハW上で一酸化炭素の分解反応(CO→C+O)が起こり、生成した炭素が堆積してアモルファスカーボン膜が形成される。
なお、このときの処理ガスとしては一酸化炭素ガス単独でもアモルファスカーボンを堆積することができるが、効率良くプラズマを形成する観点およびプラズマの均一性を制御する観点から不活性ガスを添加することが好ましい。
上記特許文献1に記載された技術では、アモルファスカーボン形成用の処理ガスとして炭化水素ガスと不活性ガスを用いてアモルファスカーボンを堆積している。この場合には、炭化水素ガスに由来する水素原子が膜中に導入されるため、このアモルファスカーボン膜は、高い含有率(例えば50atm%以上)で水素を含み、低いエッチング耐性を有することとなる。これに対応するため、堆積温度を上昇させることにより、水素原子の含有率をある程度低下させて、エッチング耐性を向上させることも考えられる。しかし、高い堆積温度を必要とする堆積プロセスは、バックエンドプロセスへ適用することができない。
これに対し、本発明の一実施形態では、水素原子を分子中に含まない一酸化炭素を処理容器(チャンバ1)内に導入するプラズマCVD法により堆積するので、比較的低温(例えば200℃以下)でアモルファスカーボンを堆積しても、低い水素原子含有率を有するアモルファスカーボン膜を得ることができ、そのような膜は、優れたエッチング耐性を有するものとなる。
ただし、原料ガスの分子中に水素原子を含まない原料ガス(処理ガス)が、常に好適な原料ガスとは限らない。例えば、二酸化炭素ガスを原料ガスとして用いると、酸素原子濃度が高いため、堆積中にそのアモルファスカーボンのエッチングが同時に生じることがある。この結果、二酸化炭素ガスを原料としたアモルファスカーボン膜の堆積レートは極めて遅くなり、または、アモルファスカーボン膜が堆積されない虞さえある。
一酸化炭素ガスとともにチャンバ1内に導入される不活性ガスとしては、Heガス、Neガス、Arガスなどが挙げられる。これらのうち、界面モホロジーの良好なアモルファスカーボン膜を形成することができる観点からHeガスを使用することが好ましい。
チャンバ1内に導入される一酸化炭素に対する不活性ガスの流量比率としては0.1〜10であることが好ましい。また、一酸化炭素と不活性ガスと合計の流量としては50〜1000mL/min(sccm)程度が好ましい。さらに、堆積時のチャンバ内圧力は、665Pa(5Torr)以下が好ましい。
アモルファスカーボン膜を堆積する際のウエハ温度(堆積温度)は、350℃以下であることが好ましく、より好ましくは300℃以下、さらに好ましくは150〜250℃である。このような温度であれば、Cu配線形成後のバックエンドプロセスに適用可能である。このような比較的低い温度でも多層レジストの最下層として要求される高いエッチング耐性を有するアモルファスカーボン膜を得ることができる。
シャワーヘッド10に印加される高周波の周波数および電力は、必要な反応性を得るために適宜設定すればよい。このように高周波電力を印加することにより、チャンバ1内に高周波電界を形成して処理ガスをプラズマ化することができ、プラズマCVDによるアモルファスカーボン膜の成膜を実現することができる。プラズマ化されたガスは高い反応性を有するため、堆積温度をより低下させることが可能である。なお、本実施形態では高周波電力による容量結合型プラズマが利用されるが、他の実施形態では誘導結合型プラズマでもよいし、マイクロ波を導波管およびアンテナを介してチャンバ1内に導入してプラズマを形成するマイクロ波に基づくプラズマであってもよい。
高周波電源16からシャワーヘッド10に高周波電力を印加するとともに、高周波電源24から電極8に高周波バイアス電力を印加することにより、堆積時における水素原子の膜中への進入を抑制し、結果として得られるアモルファスカーボン膜における水素原子の含有率を更に低くすることができる。電極8に印加される高周波バイアス電力の周波数およびパワーも適宜設定することができる。
以上のようにして形成された本実施形態におけるアモルファスカーボン膜は、比較的低い堆積温度にも拘わらず、低い水素原子含有率を有している。具体的には、水素原子の含有率は、20.0atm%以下とすることができ、さらには18.0atm%以下とすることができる。処理ガスとして炭化水素ガスを使用して形成されるアモルファスカーボン膜における水素原子の含有率は、通常50.0atm%以上であるから、本実施形態によるアモルファスカーボン膜の水素含有量はこれよりも格段に低く、よって、従来のアモルファスカーボン膜よりも格段に優れたエッチング耐性を提供する。
本実施形態によるアモルファスカーボン膜は、多層レジストの最下層(加工すべき膜のエッチングに使用するマスク)として好適である。また、このアモルファスカーボン膜は、250nm程度以下の波長で0.1〜1.0程度の光吸収係数を有するため、反射防止膜としても適用可能である。
本実施形態においてアモルファスカーボン膜を形成する際には、上部電極(シャワーヘッド10)として炭素電極を用いることが好ましい。これにより、堆積レートを高くすることができ、アモルファスカーボン膜を効率的に形成することができる。
その理由は以下のように説明される。一酸化炭素ガスが分解すると酸素ラジカル(O)が生成する。この酸素ラジカルは形成されたアモルファスカーボン膜をエッチングすることができるため、これにより堆積レートが低下するおそれがある。しかし、上部電極として炭素電極を使用することによりこのような堆積レートを低下させることなく、むしろ高い堆積レートを得ることができる。
すなわち、図2に示すように、サセプタ2に載置されたウエハW上で一酸化炭素ガスが分解(CO→C↓+O)することにより生成された酸素ラジカル(O)は、チャンバ内を流れて炭素で作製された電極に到達すると、その表面において、電極の構成元素である炭素と反応して一酸化炭素を生成する(C+O→CO)。この一酸化炭素は、アモルファスカーボン膜の堆積に寄与し、また酸素ラジカルと反応して二酸化炭素を生成する(O+CO→CO)。生成された二酸化炭素はチャンバから排気される。(酸素ラジカルは、チャンバ内に導入された一酸化炭素と反応して二酸化炭素を生成することもある。)このようにして、アモルファスカーボン膜をエッチングする(堆積を阻害する)酸素ラジカルが炭素電極により取り除かれることにより、アモルファスカーボン膜のエッチングが抑制され、高い堆積レートを達成することができるものと推測される。
次に、以上のように形成したアモルファスカーボン膜を適用した半導体装置の製造方法について説明する。
図3に示すように、半導体ウエハ(Si基板)W上に、エッチングすべき膜として、SiC膜101、SiOC膜(Low−κ膜)102、SiC膜103、SiO膜104、及びSiN膜105をこの順に堆積する。次に、SiN膜105上に、上述した方法でアモルファスカーボン(α−C)膜106、SiO膜107、BARC(下部反射防止膜)108、及びArFレジスト膜109をこの順に形成し、フオトリソグラフィーによりArFレジスト膜109をパターニングして、エッチングマスクを形成する。
ArFレジスト膜109、BARC108、SiO膜107、およびアモルファスカーボン膜106の厚さは、以下のように例示される。
・ArFレジスト膜109: 200nm以下(典型的には180nm)
・BARC108: 30〜100nm(典型的には70nm)
・SiO膜107: 10〜100nm(典型的には50nm)
・アモルファスカーボン膜106: 100〜800nm(典型的には280nm)
なお、エッチングすべき膜の膜厚は、以下のように例示される。
・SiC膜101: 30nm
・SiOC膜(Low−κ膜)102: 150nm
・SiC膜103: 30nm
・SiO膜104: 150nm
・SiN膜105: 70nm
なお、SiO膜107の代わりにSiOC、SiON、SiCN、SiCNH等の他のSiを含有する薄膜を用いることもできる。
図4に示すように、ArFレジスト膜109をマスクとしてBARC108およびSiO膜107をプラズマエッチングし、SiO膜107にArFレジスト膜109のパターンを転写する。なお、図示の例では、このエッチングの後、ArFレジスト膜109はエッチング耐性が低いため、エッチングにより除去されている。また、BARC108もこのエッチングにより薄くなっている。
次に、図5に示すように、残存するBARC108とSiO膜107をエッチングマスクとしてアモルファスカーボン膜106をエッチングする。これにより、エッチングマスクのパターン(ArFレジスト膜109のパターンと実質的に同一)がアモルファスカーボン膜106に転写される。上述した方法で堆積されたアモルファスカーボン膜106は、高いエッチング耐性のため、エッチングされて明確に輪郭づけられたエッジを有することとなる。換言すると、エッチングマスクのパターン(ArFレジスト膜109のパターン)が正確に転写される。なお、図示の例では、このエッチングによって、BARC108は除去され、SiO膜107薄くなっている。
その後、図6に示すように、アモルファスカーボン膜106をエッチングマスクとして用いて、SiN膜105、SiO膜104、SiC膜103、SiOC膜102、SiC膜101をプラズマエッチングにより順次エッチングする。このとき、上述の方法で堆積されたアモルファスカーボン膜106は、高いエッチング耐性を有し、アモルファスカーボン膜106のエッチング速度は、膜101〜105のエッチング速度よりも十分に遅い。換言すると、このエッチングにおいて、高いエッチング選択比を得ることができる。したがって、膜101〜105をエッチングしている間十分にエッチングマスクとして残存し、エッチングマスクのパターンが膜101〜105に正確に転写される。
上記のエッチングが終了したときには、SiO膜107は既に除去されており、残存したアモルファスカーボン膜106も、Hガス/Nガスを用いるアッシングにより比較的容易に除去可能である。
次に、本発明の効果を確認した結果について説明する。
図1に示したような堆積装置100のサセプタ2上にウエハWを載置し、ガス供給機構14からガス配管13およびシャワーヘッド10(シリコンからなる上部電極)を介してArガスを流しながら、排気装置18によりチャンバ1内を排気して、5.3Pa(40mTorr)の減圧状態に維持した。なお、ヒータ5によるサセプタ2の加熱は行わず、ウエハWの温度は20℃であった。高周波電源16からシャワーヘッド10に27MHz、6.5W/cmの高周波電力を印加して、シャワーヘッド10と電極8との間に高周波電界を生じさせてプラズマを発生させた。このとき、高周波電源24から電極8には高周波バイアス電力は印加しなかった。次いで、ガス供給機構14から一酸化炭素およびArガスをガス配管13およびシャワーヘッド10を介してチャンバ1内に導入した。ここに、一酸化炭素の流量を400mL/min(sccm)、Arガスの流量を100mL/min(sccm)に設定した。これにより、ウエハW上で一酸化炭素の分解反応が起こり、生成した炭素が堆積してアモルファスカーボン膜が形成された。このようにして形成されたアモルファスカーボン膜に含まれる水素量をERDA(Elastic Recoil Detection Analysis)法で測定したところ、17.9atm%と低い結果が得られた。
上部電極(シャワーヘッド10)としてシリコン電極を配置した堆積装置(A)、および、上部電極(シャワーヘッド10)として炭素電極を配置した堆積装置(B)のそれぞれを用いて、本発明の一実施形態による方法でアモルファスカーボン膜を形成し、それぞれの膜厚を測定した。成膜条件は下記のとおりである。
(成膜条件)
・装置内の圧力:266Pa(2Torr)
・ウエハWの温度:200℃
・上部電極へ印加した高周波電力:380kHz、3W/cm
・下部電極へ印加した高周波バイアス電力:380kHz、2W/cm
・一酸化炭素の流量:50mL/min(sccm)
・Arガスの流量:13mL/min(sccm)
・堆積時間:60秒
その結果、炭素電極を備えた堆積装置(B)により得られたアモルファスカーボン膜の膜厚は、シリコン電極を備えた堆積装置(A)により得られたアモルファスカーボン膜の膜厚の2倍程度であった。この結果、上部電極として炭素電極を使用すれば、堆積レートを高くできることが実証された。
なお、本発明は上記実施形態に限定されることなく、種々の変形が可能である。例えば、上記実施形態では、本発明のアモルファスカーボン膜をドライ現像技術における多層レジストの下層に適用した場合について示したが、これに限るものではない。例えば、通常のフォトレジスト膜の下に形成し、反射防止膜を兼ねるエッチングマスクとして用いて良く、また、他の種々の用途に用いることができる。
さらにまた、上記実施形態では、被対象の基板として半導体ウエハを例示したが、これに限らず、液晶表示装置(LCD)に代表されるフラットパネルディスプレイ(FPD)用のガラス基板等、他の基板にも適用可能である。
本発明に係るアモルファスカーボン膜は、ドライ現像技術における多層レジストの下層等、エッチング耐性が要求されるエッチングプロセスにおけるエッチングマスクとして好適である。
本出願は、2007年2月28日に日本国特許庁へ出願された特許出願第2007−049185号に関連する主題を包含し、それらの内容のすべてをここに援用する。

Claims (9)

  1. プラズマCVD装置を用いて基板上にアモルファスカーボン膜を形成するアモルファスカーボン膜の形成方法であって、
    処理容器内に基板を配置する工程と、
    前記処理容器内に一酸化炭素ガスを含む処理ガスを供給する工程と、
    プラズマを生成し、そのプラズマにより前記一酸化炭素ガスを分解して基板上にアモルファスカーボンを堆積する工程と、を有し、
    前記処理容器内には、上部電極が設けられ、
    前記上部電極は、炭素で作製される、アモルファスカーボン膜の形成方法。
  2. 前記処理容器内には、前記上部電極及び下部電極が設けられ、
    前記基板を配置する工程においては、前記基板が前記下部電極に配置され、
    前記アモルファスカーボンを堆積する工程においては、少なくとも前記上部電極に高周波電力が印加される、請求項に記載のアモルファスカーボン膜の形成方法。
  3. 前記アモルファスカーボンを堆積する工程において、前記上部電極にプラズマ形成用の高周波電力が印加され、前記下部電極に高周波バイアスが印加される、請求項に記載のアモルファスカーボン膜の形成方法。
  4. 前記処理ガスが不活性ガスを更に含む、請求項1から請求項3のいずれか一項に記載のアモルファスカーボン膜の形成方法。
  5. 前記不活性ガスがHeガスである、請求項に記載のアモルファスカーボン膜の形成方法。
  6. 前記アモルファスカーボンを堆積する工程において、前記基板の温度が350℃以下である、請求項1から請求項5のいずれか一項に記載のアモルファスカーボン膜の形成方法。
  7. 基板上にエッチングの対象となる膜を形成する工程と、
    前記エッチングの対象となる膜の上に請求項1から請求項のいずれか一項に記載の方法でアモルファスカーボン膜を形成する工程と、
    前記アモルファスカーボン膜をエッチングしてエッチングマスクを形成する工程と、
    前記エッチングマスクを用いて前記エッチングの対象となる膜をエッチングして所定の構造を形成する工程と
    を有する、半導体装置の製造方法。
  8. 基板上にエッチングの対象となる膜を形成する工程と、
    前記エッチングの対象となる膜の上に請求項1から請求項のいずれか一項に記載の方法でアモルファスカーボン膜を形成する工程と、
    前記アモルファスカーボン膜の上に、シリコン含有材料からなるシリコン含有膜を形成する工程と、
    前記シリコン含有膜の上にフォトレジスト膜を形成する工程と、
    前記フォトレジスト膜をパターニングする工程と、
    前記パターニングされたフォトレジスト膜を用いて前記シリコン含有膜をパターンエッチングする工程と、
    前記パターンエッチングされたシリコン含有膜を用いて前記アモルファスカーボン膜をエッチングしてエッチングマスクを形成する工程と、
    前記エッチングマスクを用いて前記エッチングの対象となる膜をエッチングする工程と
    を有する、半導体装置の製造方法。
  9. コンピュータ上で動作して堆積装置を制御するプログラムが記憶されたコンピュータ可読記憶媒体であって、前記制御プログラムが、請求項1から請求項のいずれか一項に記載の方法が行われるように、前記コンピュータに前記堆積装置を制御させるコンピュータ可読記憶媒体。
JP2009501210A 2007-02-28 2008-02-21 アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体 Active JP5113830B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009501210A JP5113830B2 (ja) 2007-02-28 2008-02-21 アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007049185 2007-02-28
JP2007049185 2007-02-28
JP2009501210A JP5113830B2 (ja) 2007-02-28 2008-02-21 アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体
PCT/JP2008/052990 WO2008105321A1 (ja) 2007-02-28 2008-02-21 アモルファスカーボン膜の形成方法、アモルファスカーボン膜、多層レジスト膜、半導体装置の製造方法およびコンピュータ可読記憶媒体

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012225119A Division JP2013030801A (ja) 2007-02-28 2012-10-10 成膜装置

Publications (2)

Publication Number Publication Date
JPWO2008105321A1 JPWO2008105321A1 (ja) 2010-06-03
JP5113830B2 true JP5113830B2 (ja) 2013-01-09

Family

ID=39721156

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009501210A Active JP5113830B2 (ja) 2007-02-28 2008-02-21 アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体
JP2012225119A Pending JP2013030801A (ja) 2007-02-28 2012-10-10 成膜装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012225119A Pending JP2013030801A (ja) 2007-02-28 2012-10-10 成膜装置

Country Status (6)

Country Link
US (1) US8409460B2 (ja)
JP (2) JP5113830B2 (ja)
KR (1) KR101102422B1 (ja)
CN (1) CN101622693B (ja)
TW (1) TWI413187B (ja)
WO (1) WO2008105321A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384693B2 (en) * 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
JP5289863B2 (ja) * 2008-08-28 2013-09-11 東京エレクトロン株式会社 アモルファスカーボンナイトライド膜の形成方法、多層レジスト膜、半導体装置の製造方法および制御プログラムが記憶された記憶媒体
JP2010177262A (ja) * 2009-01-27 2010-08-12 Panasonic Corp 半導体装置の製造方法
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
JP2012212706A (ja) * 2011-03-30 2012-11-01 Tohoku Univ 半導体装置及びその製法において用いられるアモルファスカーボン膜の製造法
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
JP6045975B2 (ja) 2012-07-09 2016-12-14 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9318412B2 (en) * 2013-07-26 2016-04-19 Nanya Technology Corporation Method for semiconductor self-aligned patterning
US9455337B2 (en) * 2014-06-18 2016-09-27 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2016157893A (ja) 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
JP2017168411A (ja) * 2016-03-18 2017-09-21 株式会社ジャパンディスプレイ 表示装置の製造方法
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
WO2020262039A1 (ja) * 2019-06-26 2020-12-30 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6110241A (ja) * 1984-06-26 1986-01-17 Toshiba Corp 半導体装置の製造方法
JPH0441672A (ja) * 1990-06-04 1992-02-12 Tdk Corp 高周波プラズマcvd法による炭素膜の形成法
JPH06267897A (ja) * 1993-03-10 1994-09-22 Toshiba Corp 半導体製造装置及び方法
JP2007523034A (ja) * 2004-01-30 2007-08-16 東京エレクトロン株式会社 アモルファスカーボン膜を含む構造およびその形成方法。

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167727A (ja) * 1997-08-19 1999-03-09 Hitachi Ltd プラズマ処理装置及びその方法
JP5121090B2 (ja) 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
JP3921528B2 (ja) * 2002-09-06 2007-05-30 独立行政法人物質・材料研究機構 リソグラフィ用基板被覆構造体
JP3931229B2 (ja) * 2002-09-13 2007-06-13 独立行政法人物質・材料研究機構 酸化炭素薄膜および酸化窒化炭素薄膜とこれら酸化炭素系薄膜の製造方法
JP2004266008A (ja) * 2003-02-28 2004-09-24 Toshiba Corp 半導体装置の製造方法
CN100456462C (zh) * 2003-10-09 2009-01-28 飞思卡尔半导体公司 具有增强光刻胶黏性的无定形碳层的器件及其制造方法
JP4012516B2 (ja) 2004-03-30 2007-11-21 浩史 滝川 カーボンナノバルーン構造体の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6110241A (ja) * 1984-06-26 1986-01-17 Toshiba Corp 半導体装置の製造方法
JPH0441672A (ja) * 1990-06-04 1992-02-12 Tdk Corp 高周波プラズマcvd法による炭素膜の形成法
JPH06267897A (ja) * 1993-03-10 1994-09-22 Toshiba Corp 半導体製造装置及び方法
JP2007523034A (ja) * 2004-01-30 2007-08-16 東京エレクトロン株式会社 アモルファスカーボン膜を含む構造およびその形成方法。

Also Published As

Publication number Publication date
US20100105213A1 (en) 2010-04-29
KR101102422B1 (ko) 2012-01-05
JPWO2008105321A1 (ja) 2010-06-03
US8409460B2 (en) 2013-04-02
CN101622693B (zh) 2012-07-04
WO2008105321A1 (ja) 2008-09-04
JP2013030801A (ja) 2013-02-07
KR20090122259A (ko) 2009-11-26
TWI413187B (zh) 2013-10-21
TW200847283A (en) 2008-12-01
CN101622693A (zh) 2010-01-06

Similar Documents

Publication Publication Date Title
JP5113830B2 (ja) アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体
KR100979716B1 (ko) 비결정 탄소막의 성막 방법 및 이를 이용한 반도체 장치의 제조 방법
US9847221B1 (en) Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
TWI497582B (zh) 用於含碳膜的矽選擇性乾式蝕刻方法
TWI405864B (zh) 薄膜形成方法及半導體製程用裝置
US8202805B2 (en) Substrate processing method
JP4638550B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5289863B2 (ja) アモルファスカーボンナイトライド膜の形成方法、多層レジスト膜、半導体装置の製造方法および制御プログラムが記憶された記憶媒体
KR101423019B1 (ko) 미세 패턴의 형성 방법
KR20090096408A (ko) 패터닝 방법
WO2009090912A1 (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
TWI661074B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US20090137125A1 (en) Etching method and etching apparatus
JP2012233259A (ja) アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
JP2013048127A (ja) アッシュ後の側壁の回復
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120711

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121012

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151019

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5113830

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250