TWI661074B - 半導體裝置之製造方法、基板處理裝置及記錄媒體 - Google Patents

半導體裝置之製造方法、基板處理裝置及記錄媒體 Download PDF

Info

Publication number
TWI661074B
TWI661074B TW106121592A TW106121592A TWI661074B TW I661074 B TWI661074 B TW I661074B TW 106121592 A TW106121592 A TW 106121592A TW 106121592 A TW106121592 A TW 106121592A TW I661074 B TWI661074 B TW I661074B
Authority
TW
Taiwan
Prior art keywords
gas
oxygen
substrate
containing gas
supplying
Prior art date
Application number
TW106121592A
Other languages
English (en)
Other versions
TW201843335A (zh
Inventor
山本克彥
大橋直史
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW201843335A publication Critical patent/TW201843335A/zh
Application granted granted Critical
Publication of TWI661074B publication Critical patent/TWI661074B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明係隨基板處理溫度的高溫化,提高製程重現性‧安定性。
本發明係包括有:在處理室中收容著已形成遮罩之基板的步驟;對基板供應含金屬氣體的步驟;對基板供應第1含氧氣體、與第2含氧氣體或稀釋氣體的步驟;重複供應含金屬氣體之步驟、與供應第1含氧氣體之步驟的步驟;以及利用重複步驟,使第1含氧氣體的供應流量較多於第2含氧氣體或稀釋氣體的步驟。

Description

半導體裝置之製造方法、基板處理裝置及記錄媒體
本開示係關於半導體裝置之製造方法、基板處理裝置及記錄媒體。
半導體裝置(裝置)之製造步驟的一步驟,係進行對基板供應處理氣體與反應氣體,而在基板上形成膜的處理步驟。例如專利文獻1所記載的技術。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2015-183271
近年,針對半導體裝置微細化所需求的技術要求已高度化,當在基板上形成裝置時,有裝置特性的均勻性降低之情形。
本揭示目的在於提供:提高裝置特性之均勻性的技術。
根據一態樣所提供的技術,係包括有:在處理室中收容已形成遮罩之基板的步驟;對上述基板供應含金屬氣體的步驟;對上述基板供應第1含氧氣體、與第2含氧氣體或稀釋氣體的步驟;重複供應上述含金屬氣體之步驟、與供應上述第1含氧氣體之步驟的步驟;以及於上述重複步驟,使上述第1含氧氣體的供應流量多於上述第2含氧氣體或稀釋氣體的步驟。
根據本揭示的技術,可提高裝置特性的均勻性。
100‧‧‧基板處理裝置
100‧‧‧腔
113a‧‧‧第1氣體(含金屬氣體)供應管
115‧‧‧流量控制器(MFC)
116、126、136、146、156、308‧‧‧閥
123a‧‧‧第2氣體供應管
125‧‧‧MF
133a‧‧‧沖洗氣體供應管
135、145、155、244c‧‧‧MFC
143a‧‧‧第3氣體供應管
150‧‧‧氣體供應管
153a‧‧‧第4氣體供應管
180‧‧‧氣化器
200‧‧‧晶圓(基板)
201‧‧‧處理空間(處理室)
202‧‧‧處理容器
202a‧‧‧上部容器
202b‧‧‧下部容器
203‧‧‧搬送空間(移載室)
204‧‧‧隔間部
207‧‧‧升降銷
210‧‧‧基板支撐部
211‧‧‧基板載置面
212‧‧‧基板載置台
213‧‧‧加熱器
214‧‧‧貫通孔
215‧‧‧外周面
217‧‧‧軸
218‧‧‧升降機構
219‧‧‧蛇腹管
221‧‧‧排氣口
223、312‧‧‧真空泵
224、306‧‧‧排氣管
227、310‧‧‧壓力調整器
232‧‧‧緩衝室(空間)
234‧‧‧噴淋頭
234a‧‧‧分散板
234a、234b‧‧‧分散孔
241‧‧‧氣體導入口
242‧‧‧共通氣體供應管
260‧‧‧控制部(控制器)
260、261‧‧‧輸出入裝置
260a‧‧‧CPU
260b‧‧‧RAM
260c‧‧‧記憶裝置
260d‧‧‧I/O埠
260e‧‧‧內部匯流排
262‧‧‧外部記憶裝置
263‧‧‧網路
285‧‧‧收訊部
302‧‧‧防吸附部
304‧‧‧移載室排氣口
314‧‧‧第2加熱部(移載室加熱部)
314a‧‧‧側部溫度調整部
314b‧‧‧底部溫度調整部
401‧‧‧導件
402‧‧‧PMMA
403‧‧‧PS
404‧‧‧含氧化鋁膜
501‧‧‧光阻
502‧‧‧矽抗反射膜
503‧‧‧碳硬罩
504、512‧‧‧矽膜
510‧‧‧SiO2
521‧‧‧第1溝渠
522‧‧‧第2溝渠
523‧‧‧第3溝渠
1480‧‧‧基板搬入出口
1490‧‧‧閘閥
2003‧‧‧有機材料膜
2341、2345‧‧‧溫度測定部
2343、2347‧‧‧溫度控制部
圖1係一實施形態的腔之縱剖面概略圖。
圖2係一實施形態的氣體供應系統說明圖。
圖3係一實施形態的基板處理系統之控制器概略構造圖。
圖4係一實施形態的基板處理步驟流程圖。
圖5係一實施形態的基板處理步驟序列圖。
圖6係一實施形態的基板處理步驟另一序列圖。
圖7係一實施形態的基板處理步驟另一序列圖。
圖8係一實施形態的基板處理步驟另一序列圖。
圖9係一實施形態的基板處理步驟所使用之基板例說明圖。
圖10(a)至(d)係一實施形態的基板處理步驟所應用之DSA步驟說明圖。
圖11(a)至(h)係一實施形態的基板處理步驟所應用之多重曝光步驟(multiple patterning)之說明概略剖視圖。
<一實施形態> (1)基板處理裝置之構成
針對一實施形態的基板處理裝置進行說明。
針對本實施形態的處理裝置100進行說明。基板處理裝置100係構成為單片式基板處理裝置。基板處理裝置係施行半導體裝置製造一步驟。
如圖1所示,基板處理裝置100係具備有處理容器202。處理容器202係構成為例如橫截面呈圓形的扁平密閉容器。又,處理容器202係由例如鋁(Al)、不銹鋼(SUS)等金屬材料、或石英構成。在處理容器202內形成有:對作為基板的矽晶圓等晶圓200施行處理之處理空間(處理室)201、及搬送空間(移載室)203。處理容器202係由上部容器202a與下部容器202b構成。在上部容器202a與下部容器202b之間設有隔間部204。將屬於由上部容器202a包圍的空間且較隔間部204更靠上方的空間稱為「處理空間」(亦稱「處理室」)201,將屬於由下部容器202b包圍的空間且較隔間部204更靠下方的空間稱為「移載室203」。
在下部容器202b的側面設有鄰接閘閥1490的基板搬入出口 1480,晶圓200係經由基板搬入出口1480而在與未圖示搬送室之間移動。在下部容器202b的底部複數設有升降銷207。又,下部容器202b被接地。
在處理室201內設有支撐晶圓200的基板支撐部210。基板支撐部210係具備有:載置晶圓200的載置面211、以及表面具有載置面211與外周面215的基板載置台212。較佳係設有作為第1加熱部的加熱器213。藉由設置加熱部,使基板被加熱,可提升在基板上所形成膜的品質。在基板載置台212中亦可於升降銷207的對應位置處分別設置由升降銷207貫通用的貫通孔214。另外,亦可將在基板載置台212表面所形成之載置面211的高度,設為較外周面215低了相當於晶圓200厚度之長度份。藉由此種構成,可縮小晶圓200上面高度與基板載置台212外周面215間之高度差,可抑制因高度差造成的氣體亂流。又,當氣體亂流並不致對晶圓200的處理均勻性構成影響時,亦可將外周面215的高度構成為與載置面211為同一平面上之高度以上。
基板載置台212係由軸217所支撐。軸217係貫通處理容器202的底部,並在處理容器202的外部連接於升降機構218。藉由使升降機構218動作而使軸217及基板載置台212進行升降,可使基板載置面211上所載置的晶圓200進行升降。另外,軸217下端部周圍係由蛇腹管219包覆,俾使處理室201內保持氣密。
基板載置台212係在晶圓200搬送時,使基板載置面211下降 至成為基板搬入出口1480的位置(晶圓搬送位置),在晶圓200處理時則如圖1所示,使晶圓200上升至處理室201內的處理位置(晶圓處理位置)處。
具體而言,當使基板載置台212下降至晶圓搬送位置時,升降銷207的上端部從基板載置面211的上面突出,形成由升降銷207從下方支撐著晶圓200。又,當使基板載置台212上升至晶圓處理位置時,升降銷207從基板載置面211的上面埋沒入,形成由基板載置面211從下方支撐著晶圓200。另外,因為升降銷207直接接觸到晶圓200,因而最好由例如石英、氧化鋁等材質形成。
更進一步在下部容器202b的內壁設有作為溫度調整部的第2加熱部(移載室加熱部)314。第2加熱部314係構成為可對移載室203內、或在移載室203內所設置的各構件進行加熱。第2加熱部314係抑制已進入移載室203內的氣體在移載室203內被冷卻為較氣化原料沸點更低溫度而被液化的情形,並抑制其吸附於下部容器202b表面上之情形。較佳係依抑制所吸附氣體液化的方式施行加熱。又,抑制在下部容器202b的表面、後述防吸附部302的表面上吸附氧化劑之情形。此處,所謂「液化」係包括結露、凝結等現象在內。
第2加熱部314係由側部溫度調整部314a與底部溫度調整部314b其中任一者、或雙方構成。溫度測定部2341、2345分別測定下部容器202b的側部、底部溫度。利用溫度控制部2343、2347施 行側部溫度調整部314a、底部溫度調整部314b的加熱處理。藉由設置溫度調整部314,可使移載室203各部位(側部、底部)溫度均勻地加熱。溫度控制部2343、2347係電氣式耦接於後述控制器260而受控制。又,藉由利用屬於第2加熱部的溫度調整部314加熱移載室203,使移載室203均勻加熱,可使各部位不吸附氣體。
側部溫度調整部314a係設計成包圍移載室203狀態。底部溫度調整部314b係設置於移載室203的底部。另外,溫度調整部314的溫度係利用供應至各部位的電力進行調整,且利用控制部260進行控制。另外,後述成膜步驟中的移載室203溫度,係被加熱至例如第1氣體與第2氣體中任一者或雙方均不發生吸附的溫度以上。更佳係設定為氧化劑不液化的溫度以下。又,亦可在氧化劑經液化後,加熱至氧化劑蒸發的溫度。又,亦可構成為使側部溫度調整部314a的溫度、與底部溫度調整部314b的溫度不同。例如構成為側部溫度調整部314a的溫度高於底部溫度調整部314b。藉由此種溫度設定,可抑制過剩的氣體對側部(側壁部)的吸附,可降低移載室203側部與底部處的氣體吸附量。
再者,在移載室203的內壁表面上亦可設置由與構成處理室201的構件為相同材質所構成的防吸附部302。藉由防吸附部302的材質係由與構成處理室201的石英為相同材質構成,可抑制反應氣體與下部容器間之反應。又,當對處理室201與移載室203施行清洗時,可使用相同的清洗氣體。又,防吸附部302最好由不易與屬於含氧氣體的H2O2產生反應之材料(膜)構成。另外,防吸附部 302係例如依膜狀設置於下部容器202b的表面上。又,防吸附部302亦可由板狀構件構成。
(排氣系統)
在處理室201(上部容器202a)的內壁上面,設有作為對處理室201的環境進行排氣之第1排氣部的排氣口221。排氣口221連接於作為第1排氣管的排氣管224,在排氣管224依序串聯連接著將處理室201內控制於既定壓力之APC(Auto Pressure Controller,壓力自動控制)等壓力調整器227、真空泵223。主要由排氣口221、排氣管224、及壓力調整器227構成第1排氣部(排氣管路)。另外,亦可構成為真空泵223包含於第1排氣部。
在移載室203的側面下部,設有作為對移載室203的環境進行排氣之第2排氣部的移載室排氣口304。移載室排氣口304連接於作為第2排氣管之排氣管306,於排氣管306依序串聯連接著閥308、將移載室203內控制於既定壓力之APC等壓力調整器310、真空泵312。主要由移載室排氣口304、閥308、排氣管306、及壓力調整器310構成第2排氣部(排氣管路)。另外,亦可構成為真空泵312包含於第2排氣部。
(氣體導入口)
在處理室201上部所設置之噴淋頭234的上面(頂壁),設有對處理室201內供應各種氣體的氣體導入口241。相關在屬於氣體供應部的第1氣體導入口241所連接之氣體供應單元之構成,容後述。
(氣體分散部)
噴淋頭234係由緩衝室(空間)232、分散板234a、及分散孔234b構成。噴淋頭234係設置於氣體導入口241與處理室201之間。從氣體導入口241導入的氣體被供應給噴淋頭234的緩衝空間232(分散部)。噴淋頭234係由例如石英、氧化鋁、不銹鋼、鋁等材料構成。
(處理氣體供應部)
於氣體導入口241係經由共通氣體供應管242連接著氣體供應管150。構成經由氣體導入口241朝基板處理裝置100內供應氣體狀態。從氣體供應管150係供應後述的第1氣體、第2氣體、第3氣體、第4氣體、沖洗氣體。
圖2所示係第1氣體供應部、第2氣體供應部、第3氣體供應部、第4氣體供應部、沖洗氣體供應部等氣體供應系統的概略構造圖。
如圖2所示,於氣體供應管150連接著第1氣體(含金屬氣體)供應管113a、沖洗氣體供應管133a、第2氣體(第1含氧氣體)供應管123a、第3氣體(第2含氧氣體)供應管143a、第4氣體(第3含氧氣體)供應管153a。
(第1氣體供應部)
在第1氣體供應部中設有:第1氣體供應管113a、流量控制器(MFC)115、閥116。另外,亦可構成為第1氣體供應管113a所連接的第1氣體供應源113包含於第1氣體供應部中。又,當處理氣體的原料係液體、固體的情況,亦可設置氣化器180。
第1氣體係原料氣體(即處理氣體)之一。
此處,第1氣體係含金屬氣體,例如含鋁氣體。含鋁氣體係可使用例如三甲基鋁(Trimethyl Alminum:TMA)氣體。另外,第1氣體的原料在常溫、常壓下可為固態、液態及氣態中之任一狀態。此處原料係以氣體進行說明。另外,含金屬氣體係除TMA氣體之外,亦可為具有例如Si、Al、Ga、Hf、Zr等金屬元素、與CH3等烷基的原料氣體。該等含金屬氣體係可舉例如:三甲基鎵(Trimethyl Galium:TMGa)、三甲基鈦(Trimethyl Titanium:TMTi)、三甲基鉿(Trimethyl Hafnium:TMHf)、四甲基矽烷(Tetramethyl Silane:TMS)等。
(第2氣體供應部)
在第2氣體供應部(第1含氧氣體供應部)中設有:第2氣體供應管123a、MFC125、及閥126。另外,亦可構成為第2氣體供應管123a所連接的第2氣體供應源123包含於第2氣體供應部。
另外,亦可設置遠端電漿單元(RPU),構成為使第2氣體活性化。又,當在後述基板200上形成有機材料膜時,亦可不設置RPU,構成為可施行無電漿處理。
第2氣體係原料氣體(即處理氣體)之一。
此處,第2氣體係例如含氧氣體。此處設為第1含氧氣體。第1含氧氣體係可使用例如過氧化氫(hydrogen peroxide:H2O2)氣體。又,第1含氧氣體係為了不對屬於遮罩的有機材料造成影響,最好使用相較於與遮罩間之反應,更易與含金屬氣體進行反應的氣體。
(沖洗氣體供應部)
在沖洗氣體供應部(惰性氣體供應部)中設有沖洗氣體供應管133a、MFC135、閥136。另外,亦可構成為沖洗氣體供應管133a所連接的沖洗氣體供應源133包含於沖洗氣體供應部。此處,沖洗氣體係例如氮(N2)氣體。另外,沖洗氣體係除N2氣體之外,尚可使用例如氦(He)氣體、氖(Ne)氣體、氬(Ar)氣體等稀有氣體。
(第3氣體供應部)
在第3氣體供應部(第2含氧氣體供應部)中設有:第3氣體供應管143a、MFC145、閥146。另外,亦可構成為第3氣體供應管143a所連接的第3氣體供應源143包含第3氣體供應部。此處,第3氣體係例如含氧氣體。此處設為第2含氧氣體。第2含氧氣體係可使用例如水蒸氣(Water Vapour:H2O)。另外,亦可設置遠端電漿單元(RPU),構成為使第3氣體活性化。第1含氧氣體與第2含氧氣體最好係不易產生活性氧的氣體。所謂容易產生活性氧的氣體,係有如O3、O2電漿。所以,最好為不同於O3、O2電漿的氧化劑。在將具活性氧的氣體供應給後述基板200時,有在基板200上所形成之有機材料膜遭灰化的情況。藉由不使用該等氣體,可抑制有機材料膜遭灰化之情形。另外,不易生成活性氧的氣體係有如H2O、 H2O2等。即,具OH鍵的氣體種。
(第4氣體供應部)
在第4氣體供應部(含氧氣體供應部)中設有第4氣體供應管153a、MFC155、及閥156。另外,亦可構成為第3氣體供應管143a所連接的第4氣體供應源153包含於第4氣體供應部。
此處,第4氣體係例如含氧氣體。在此設為第3含氧氣體。第3含氧氣體係可使用例如過氧化氫(hydrogen peroxide:H2O2)氣體。另外,因為第4氣體供應部係供應與第2氣體供應部同樣的氣體,因而亦可構成為不設置第4氣體供應部,而採用第2氣體供應部。
(控制部)
如圖1所示,基板處理裝置100係具有控制基板處理裝置100各部動作的控制器260。
控制器260的概略係如圖3所示。屬於控制部(控制手段)的控制器260係構成為具備有:CPU(Central Processing Unit,中央處理器)260a、RAM(Random Access Memory,隨機存取記憶體)260b、記憶裝置260c、及I/O埠260d的電腦。RAM260b、記憶裝置260c、I/O埠260d係經由內部匯流排260e,構成能與CPU260a進行資料交換。控制器260係構成為可連接於例如構成觸控板等的輸出入裝置261、或外部記憶裝置262。
記憶裝置260c係由例如快閃記憶體、HDD(Hard DiSk Drive, 硬碟機)等構成。在記憶裝置260c內可讀出地儲存著:控制基板處理裝置動作的控制程式、記載了後述基板處理順序與條件等的程式處方、截至設定對晶圓200施行處理所使用製程配方為止前的過程中所產生之運算數據或處理數據等。另外,製程配方係使控制器260執行後述基板處理步驟的各順序,並依可獲得既定結果的方式組合者,具有當作程式的機能。以下,將該程式處方、控制程式等統合簡稱為「程式」。另外,本說明書中當使用「程式」一詞時,係包括有僅包括程式處方個體的情況、僅包括控制程式個體的情況、或包括二者的情況。又,RAM260b係構成為暫時性儲存由CPU260a所讀出之程式、運算數據、處理數據等的記憶體區域(工作區塊)。
I/O埠260d係連接於:氣化器180、閘閥1490、升降機構218、加熱器213、第2加熱部314、壓力調整器227、310、真空泵223、239、312、閥116、126、136、146、156、308、MFC115、125、135、145、155等。
作為運算部的CPU260a係構成為從記憶裝置260c讀出控制程式並執行,且配合來自輸出入裝置260的操作指令輸入等而從記憶裝置260c讀出製程配方。又,構成為將從收訊部285輸入的設定值、與記憶裝置260c中所記憶的製程配方、控制數據進行比較‧運算,而可計算出運算數據。又,構成為可從運算數據執行所對應處理數據(製程配方)的決定處理等。然後,CPU260a構成為依照所讀出製程配方的內容,針對閘閥1490的開閉動作、升降機構218的升降動作、對加熱器213、第2加熱部314的電力供應動作、壓 力調整器227、310的壓力調整動作、真空泵223、312的開關控制、閥116、126、136、146、156、308的氣體開關控制、MFC115、125、135、145、155的動作等進行控制。
另外,控制器260不侷限於構成為專用電腦的情況,亦可構成為通用電腦。例如準備已儲存有上述程式的外部記憶裝置(例如:磁帶、軟碟、硬碟等磁碟;CD、DVD等光碟;MO等光磁碟;USB記憶體、記憶卡等半導體記憶體)262,藉由使用該外部記憶裝置262在通用電腦中安裝程式等,可構成本實施形態的控制器260。另外,將程式供應給電腦的手段並不侷限於經由外部記憶裝置262供應的情況。例如亦可使用網路263(網際網路、專用線路)等通訊手段,在未經由外部記憶裝置262的情況下提供程式。另外,記憶裝置260c、外部記憶裝置262係構成為電腦可讀取的記錄媒體。以下,將該等簡單統稱為「記錄媒體」。另外,本說明書中使用記錄媒體用語時,係包含僅有記憶裝置260c個體的情況、僅有外部記憶裝置262個體的情況時、或者包含二者的情況。
(2)基板處理步驟
其次,針對使用上述基板處理裝置,進行半導體裝置(半導體裝置)之製造步驟中的一步驟進行說明。此處,針對使被取入於基板上的有機材料膜2003中之含金屬氣體進行氧化,而在有機材料膜2003中形成金屬氧化物的步驟序列例,參照圖4、圖5、圖9進行說明。該將含金屬氣體施行氧化的步驟,係在有機材料膜2003的表面上亦形成金屬氧化物(金屬氧化膜)。在該有機材料膜2003 的表面上所形成之金屬氧化膜,將阻礙在後述周期中的第n周期所供應之含金屬氣體的分子進入於有機材料膜2003中。即,降低在有機材料膜2003中所形成之金屬氧化物的量。藉此,產生有機材料膜2003中的金屬氧化物量降低、以及有機材料膜2003的強度、蝕刻耐性不成為既定值的問題。又,需延長該處理時間直到強度、蝕刻耐性成為既定值,而有處理效率降低的問題。
解決此項問題的構成係有如逐漸增加第2氣體濃度的構成。例如圖5、圖6、圖7、圖8所示的氣體供應序列。此處,以圖5為例進行說明。藉由將第2氣體與第3氣體的供應比率設定成在第1處理步驟(第1步驟)與第2處理步驟(第2步驟)中不同,則降低在有機材料膜2003的表面上所形成之金屬氧化物的量,可在有機材料膜2003中形成既定量的金屬氧化物。此處,針對將第2氣體的供應量設定成在第2步驟中多於第1步驟的構成例進行說明。
另外,以下說明中,構成基板處理裝置的各構件動作係利用控制器260進行控制。
另外,本說明書中採用「晶圓」用詞時,係有指「晶圓本身」的情況、「晶圓與在其表面上所形成之既定層或膜等與其積層體(集合體)」的情況(即,有將包含在表面上所形成之既定層或膜等在內稱為「晶圓」的情況)。又,本說明書中,採用「晶圓表面」用詞時,係有指「晶圓本身的表面(露出面)」之情況、「在晶圓上所形成之既定層或膜等的表面,即作為積層體的晶圓之最表面」之情況。
所以,本說明書中,記載「對晶圓供應既定氣體」的情況,係有指「對晶圓本身的表面(露出面)直接供應既定氣體」的情況,以及「對在晶圓上所形成的層或膜等(即,作為積層體的晶圓之最表面),供應既定氣體」的情況。又,本說明書中,記載「在晶圓上形成既定層(或膜)」的情況,係有「在晶圓本身的表面(露出面)上直接形成既定層(或膜)」的情況,「在晶圓上所形成的層或膜等之上(即作為積層體的晶圓之最表面上),形成既定層(或膜)」的情況。
另外,本說明書中,採用「基板」用詞的情況,係與採用「晶圓」用詞的情況相同,此情況在上述說明中,只要將「晶圓」置換為「基板」即可。
以下,針對基板處理步驟進行說明。
(基板搬入步驟S201)
施行基板處理步驟時,首先將如圖9所示般在表面上已形成有機材料膜2003的晶圓(基板)200,搬入處理室201中。具體而言,利用升降機構218使基板支撐部210下降,形成升降銷207從貫通孔214突出於基板支撐部210上面側的狀態。又,將處理室201內調壓為既定壓力後,開放閘閥1490,使晶圓200從閘閥1490的開口載置於升降銷207上。在晶圓200載置於升降銷207上之後,利用升降機構218使基板支撐部210上升至既定位置,成為晶圓200從升降銷207被載置於基板支撐部210。
另外,有機材料膜2003係例如由有機樹脂構成。有機材料膜係例如圖案化步驟所使用的遮罩膜。有機樹脂的材料具體係由PMMA(聚甲基丙烯酸甲酯)構成。遮罩膜亦稱「光阻膜」。
(減壓‧升溫步驟S202)
接著,依處理室201內成為既定壓力(真空度)的方式,經由處理室排氣管224對處理室201內施行排氣。此時,根據由壓力感測器所測定的壓力值,對作為壓力調整器222的APC閥之閥開度進行回饋控制。又,根據由溫度感測器(未圖示)所檢測的溫度值,依處理室201內的溫度成為既定溫度、且較高於移載室203的溫度之方式,對朝作為第1加熱部的加熱器213、分散板加熱器234c、及第2加熱部(加熱器)314的通電量進行回饋控制。具體而言,利用加熱器213預先加熱基板支撐部210,從晶圓200或基板支撐部210未出現溫度變化後維持一定時間。在此期間,在出現處理室201內殘留水分、或來自構件的脫氣等情況,亦可施行真空排氣除去、或利用供應N2氣體施行沖洗而除去。藉此完成成膜製程前的準備。另外,在將處理室201內排氣至既定壓力時,可一次施行真空排氣直達可到達的真空度。
此時,加熱器213的溫度係設定為室溫~150℃、較佳室溫~90℃、更佳60~90℃範圍內的一定溫度。該溫度係設定為於晶圓200上吸附第1氣體與第2氣體中之任一者或雙方的溫度。即,設定為反應發生的溫度。又,較佳設定為在晶圓200上所形成的膜不劣化 之溫度。例如有機材料膜不劣化程度的溫度。又,第2加熱部(加熱器)314(側部溫度調整部314a與底部溫度調整部314b)的溫度係設定為100~200℃左右。該溫度係如上述,設定為阻礙吸附或分解的溫度。
(第1處理步驟)
接著,針對在晶圓200的有機材料膜2003中形成金屬氧化物的步驟例進行說明。針對處理的詳細內容,使用圖4、圖5進行說明。
晶圓200被載置於基板支撐部210,經處理室201內的環境安定後,施行圖4所示第1處理步驟(S203~S207)的步驟。
(第1氣體供應步驟S203)
第1氣體供應步驟S203中,係從第1氣體供應部朝處理室201內供應作為第1氣體(原料氣體)的含金屬氣體。含金屬氣體係例如TMA氣體。具體而言,開啟氣體閥,從氣體源朝腔100內供應含金屬氣體。此時,利用MFC調整為既定流量。經流量調整的含金屬氣體通過緩衝空間232,從噴淋頭234的分散孔234a供應給減壓狀態的處理室201內。又,持續利用排氣系統進行處理室201內的排氣,將處理室201內的壓力控制成為既定壓力範圍。此時,對晶圓200所供應之含金屬氣體的含金屬氣體,係依既定壓力(第1壓力:例如100Pa以上且20000Pa以下)供應給處理室201內。如此,朝晶圓200內供應含金屬氣體。藉由供應含金屬氣體,含金屬氣體 的分子被取入於晶圓200上的有機材料膜2003內部。具體而言,含鋁的分子被取入於有機材料膜2004的內部。
(第1沖洗步驟S204)
在含金屬氣體分子被取入於晶圓200上的有機材料膜2003內部之後,停止含金屬氣體的供應。藉由停止屬於原料氣體的含金屬氣體,再將處理室201中所存在的原料氣體、及在緩衝空間232中所存在的原料氣體,從處理室排氣管224施行排氣,而施行第1沖洗步驟S204。
再者,在沖洗步驟時,除了僅將氣體施行排氣(抽真空)而排出氣體之外,亦可構成為供應惰性氣體,藉由擠出殘留氣體而施行排出處理。又,亦可組合施行抽真空與惰性氣體供應。又,亦可構成為交錯施行抽真空與惰性氣體供應。
再者,此時供應給處理室201內的N2氣體流量亦不需設為大流量,例如亦可供應與處理室201容積相同程度的量。藉由依此施行沖洗,可降低對下一步驟的影響。又,藉由不完全沖洗處理室201內,可縮短沖洗時間,能提升製造效率。又,亦可將N2氣體的消耗抑制於必要最小極限。
此時從各惰性氣體供應系統所供應之作為沖洗氣體的N2氣體之供應流量,分別設為例如100~20000sccm範圍內的流量。沖洗氣體係除N2氣體之外,尚可使用Ar、He、Ne、Xe等稀有氣體。
另外,在沖洗步驟中,最好將在晶圓200上、且未形成有機材料膜的地方所吸附的第1氣體、以及在有機材料膜2003表面上所吸附的第1氣體予以除去。該等第1氣體係與接著所供應的第2處理氣體產生反應,造成引發不必要反應的問題。
(第2處理氣體供應步驟S205)
第1氣體沖洗步驟後,經由氣體導入口241、複數分散孔234a,朝處理室201內供應作為第2氣體(反應氣體)的第1含氧氣體。例示於第1含氧氣體使用過氧化氫(H2O2)的例子。因為經由分散孔234a供應處理室201,故可將氣體均勻地供應給基板上。藉此可提升膜厚的均勻性。另外,在供應第2氣體時,亦可構成為經由活性化部(激發部),將經活性化的第2氣體供應給處理室201內。
此時,依H2O2氣體的流量成為既定流量的方式,調整MFC244c。另外,H2O2氣體的供應流量係例如100sccm以上且10000sccm以下。
再者,在此供應第3氣體(稀釋氣體)。第3氣體係使用第2含氧氣體與惰性氣體中之任一者、或雙方。此處第2含氧氣體係例示使用水蒸氣(H2O)。如圖5所示,第3氣體的流量係設定為多於第2氣體的流量。
經既定時間後,停止供應第2氣體與第3氣體。
若將第2氣體的H2O2氣體供應給在晶圓200上所形成之已取入含金屬氣體分子的有機材料膜2003,H2O2氣體將滲透入有機材料膜2003內部,使含金屬氣體分子被氧化。藉此在有機材料膜2003內形成金屬氧化物。具體而言,在有機材料膜2003內形成氧化鋁(AIO)。
(第2沖洗步驟S206)
藉由停止H2O2氣體的供應,利用將處理室201中存在的H2O2氣體、在緩衝空間232中存在的H2O2氣體,從第1排氣部施行排氣,而施行第2沖洗步驟S206。第2沖洗步驟S206係施行與上述第1沖洗步驟S204同樣的步驟。
(判定步驟S207)
待第2沖洗步驟S206結束後,控制器260係判定在上述第1處理步驟期間內,S203~S206是否已執行既定周期數n(n係自然數)。將上述步驟S203~S206設為1周期,藉由執行該周期至少1次以上(步驟S207),可在晶圓200上的有機材料膜2003中形成金屬氧化物。另外,上述周期最好重複施行複數次。藉此在晶圓200上形成含有既定量金屬氧化膜的有機材料膜2003。
當未實施既定次數時(在S207中判定為No時),便重複S203~S206周期。若已實施既定次數時(在S207中判定為Yes時),則結束第1處理步驟,執行第2處理步驟S208、搬送壓力調整步驟 S209、及基板搬出步驟S210。
[第2處理步驟S208]
第1處理步驟(S203~S207)後,依照與第1處理步驟同樣地供應第1氣體。接著,施行沖洗處理,供應第2氣體。此時,供應量設定為較多於在第1處理步驟所供應第2氣體的供應量。又,此時的第2氣體供應量係第3氣體供應量的同等以上。接著施行沖洗處理。第2處理步驟係執行既定的m周期。
依此藉由施行第1處理步驟與第2處理步驟,可使晶圓200上的有機材料膜2003從內部至表面呈現均勻含有金屬氧化物的狀態。
(搬送壓力調整步驟S209)
在搬送壓力調整步驟S209中,依處理室201內、移載室203成為既定壓力(真空度)的方式,經由處理室排氣管224與移載室排氣管304,對處理室201內、移載室203內施行排氣。此時的處理室201內、移載室203內之壓力,係調整至真空搬送室(未圖示)內的壓力以下。另外,在該搬送壓力調整步驟S209的期間、或前、後,亦可構成依晶圓200的溫度冷卻至既定溫度的方式,由升降銷207保持。
(基板搬出步驟S210)
經搬送壓力調整步驟S209使處理室201與移載室203內成為既定壓力後,開啟閘閥1490,將晶圓200從移載室203搬出於真空 搬送室(未圖示)中。
在此種步驟中,對晶圓200上的有機材料膜2003施行處理。
另外,上述例示分開為第1處理步驟與第2處理步驟施行處理,惟並不僅侷限於此,亦可不施行第2處理步驟S208,而構成在第1處理步驟中,變化第2氣體供應量。該序列例係如圖6所示。如圖6所示,亦可依每個周期逐漸增加第2氣體的含氧氣體供應量。即,依每個周期增加第1含氧氣體的供應比率。
再者,亦可構成圖7所示序列。圖7中,增加第2氣體供應比率的手法係依每個周期減少第3氣體的供應量。
再者,亦可構成圖8所示序列。圖8的第1處理步驟係依照與圖5所示序列同樣實施,而在第2處理步驟中,停止第1氣體、第1沖洗、第2沖洗、第3氣體的供應,僅供應第2氣體施行退火步驟。經第1處理步驟(S203~S207)後,經由氣體導入口241、複數分散孔234a,對處理室201內供應作為第2氣體(反應氣體)的含氧氣體。含氧氣體係例示使用過氧化氫(H2O2)的例子。因為經由分散孔234a供應給處理室201,因而可將氣體均勻供應給基板上。所以,可全面均勻施行退火。另外,此處雖在第2處理步驟中供應第2氣體,但亦可在未供應第2氣體情況下,供應第4氣體(第3含氧氣體)。第4氣體係如此處所例示的例子般,屬於含氧氣體,具體係使用過氧化氫(H2O2)。
此時,依H2O2氣體的流量成為既定流量的方式,調整MFC125。另外,H2O2氣體的供應流量係例如100sccm以上且10000sccm以下。
若H2O2氣體供應給在晶圓200上所形成之已含有含金屬氣體的有機材料膜2003,屬於氧化劑的H2O2氣體將滲透於遮罩內部而施行退火處理。
經既定時間後,停止H2O2氣體的供應。利用第2處理步驟,有機材料膜2003中的含金屬氣體之分子被氧化。第2處理步驟所使用的含氧氣體最好使用氧化力較強於第1處理步驟所使用含氧氣體的氣體。具體而言,第1處理步驟的第2氣體係使用水蒸氣,第3氣體係使用稀釋氣體。第2處理步驟的第2氣體係使用H2O2。又,亦可將第2處理步驟所使用的含氧氣體、與第1處理步驟所使用的含氧氣體設為相同氣體,並設為第2處理步驟所使用含氧氣體的供應量(濃度)>第1處理步驟所使用含氧氣體的供應量(濃度、時間)。使氣體種類不同時能較有效地提升處理效率,亦可降低氣體使用量。
另外,上述例示了對圖9所示基板施行處理的例子,惟並不僅侷限於此,亦可對圖10所示DSA(Directed Self Assembly,定向自組裝)步驟中的基板施行處理。在DSA步驟中,首先(a)在基板200上形成導件401。接著,(b)在已形成導件401的基板200上,塗敷 二種光阻原料。此處,光阻原料係PMMA(聚甲基丙烯酸甲酯)與PS(聚苯乙烯)。放置既定時間,有機材料膜的PMMA402(2003)與PS403係利用導件401的特性,依規則整齊排列狀態硬化。其次,藉由對該基板200實施上述圖4、圖5、圖6、圖7中至少任一者,使PMMA402改質為含氧化鋁膜404。接著,藉由對PS403施行蝕刻,在基板200上形成圖案。依此藉由形成含氧化鋁膜404,可提升與PS403間之蝕刻選擇比,可施行微細圖案化。
再者,亦可如圖11所示,對多重曝光步驟中的基板施行處理。此處例示使用於SADP(self-aligned double patterning,自對準雙重曝光)步驟中的基板例。
如圖11(a)所示,利用微影對光阻501(2003)施行圖案化及減薄。對此狀態的基板,實施上述圖4、圖5、圖6、圖7中至少任一者,可提升光阻膜501的強度、蝕刻選擇比,可在下一步驟中轉印精確圖案。
接著,如圖11(b)所示,將經圖案化的光阻501使用為遮罩,藉由對底層的矽抗反射膜502與碳硬罩503施行乾式蝕刻而轉印圖案。接著,利用灰化(ashing)除去光阻501後,再以經圖案化的矽抗反射膜層502與碳硬罩層503為遮罩,對底層的矽膜504施行圖案化。接著,如圖11(c)所示,藉由利用灰化除去碳硬罩層503,形成矽膜504的核心圖案(主成為矽的突起)。在該主成分為矽的突起之間,形成第1溝渠521。
其次,如圖11(d)所示,形成SiO2膜510。最好對矽膜504核心覆蓋佳地均勻成膜。藉由形成SiO2膜510,而形成第2溝渠522。接著,如圖11(e)所示,依覆蓋SiO2膜510上的方式形成矽膜512。此時,密集配置矽核心的區域係形成為相鄰SiO2膜510的側壁間之溝渠被矽膜512所埋藏。為使該相鄰側壁間的間隔能被矽膜512埋藏、且該間隔成為所需尺寸,而利用矽膜504的核心圖案尺寸及間距、SiO2膜510的膜厚進行調整。具體而言,在SiO2膜510上所形成的矽膜512中,調整為使在第2溝渠522上所形成矽膜512的膜厚變厚。更進一步而言,在SiO2膜510上所形成的矽膜512,最好除了在第2溝渠522上所形成的矽膜512之外,其餘均調整為相同膜厚。
其次,如圖11(f)所示,對矽膜512施行回蝕,依在上述第2溝渠522內僅殘留被矽膜512埋藏區域的矽膜512之方式,調整蝕刻條件。此時,在較寬的溝渠圖案(第3溝渠523)必需完全除去側壁的矽膜512、底部的矽膜512。所以,必需採行等向性蝕刻。此時,溝渠的寬度具有第2溝渠寬<第1溝渠寬<第3溝渠寬的關係。在此步驟中,實施對矽具高蝕刻速率、且對SiO2膜具高選擇性的蝕刻。此時,調整為使面朝第3溝渠的矽膜512(上述側壁的矽膜512、上述底部的矽膜512)、以及在矽膜504上沉積的SiO2膜510上所沉積之矽膜512等被完全除去,僅使在第2溝渠522上所形成矽膜512殘留的條件。此處,當供應處理氣體的蝕刻氣體時,如上述,最好預先施行使用除去劑之處理。
接著,如圖11(g)所示,對SiO2膜510施行乾式蝕刻,將在矽膜504的核心圖案、與SiO2膜溝渠中所殘留之矽膜36間的SiO2膜35予以除去,而形成溝渠圖案。其次,如圖11(h)所示,以圖11(g)所形成的圖案為遮罩,將底層的SiO2膜505利用乾式蝕刻施行圖案化。此處作為SiO2膜505的蝕刻終止層一例,係例示將矽膜506鋪設於下面的情況。
另外,此處例示多重曝光步驟係使用SADP步驟的情況,依相同原理亦可適用於SAQP(self-aligned quadruple patterning,自對準四重圖案)。又,亦可適用於單次曝光步驟(single patterning)。
利用本實施形態可提升蝕刻選擇比。又,因為可使經圖案化的有機材料膜(光阻)硬化,因而即使間距變狹窄,仍可維持形狀。又,亦降低圖案的LER(Line Edge Roughness,線邊緣粗糙度)。
再者,上述雖敘述將原料氣體與反應氣體交錯供應進行處理的方法,但在原料氣體與反應氣體的氣相反應量、副產物生成量為容許範圍內之前提下,亦可適用其他方法。例如原料氣體與反應氣體的供應時序呈重疊的方法。
再者,上述雖敘述半導體裝置的製造步驟,但亦可適用於半導體裝置之製造步驟以外。例如:液晶裝置之製造步驟、太陽電池之製造步驟、發光裝置之製造步驟、玻璃基板之處理步驟、陶瓷基板 之處理步驟、導電性基板之處理步驟等基板處理。
再者,上述例示原料氣體係使用TMA氣體、反應氣體係使用H2O2氣體,而形成氧化鋁的例子,但亦可適用於使用其他氣體的處理。例如:含氧物、含氮物、含碳物、含硼物、含金屬物、及複數含有該等元素的膜等。另外,該等的具體例,係可舉例如:SiO、SiN、ZrO、HfO、HfAlO、ZrAlO、SiC、SiCN、SiBN、TiN、TiC、TiAIC等。用於形成該等膜而使用的原料氣體與反應氣體,係比較各氣體特性(吸附性、脫離性、蒸氣壓等),再適當變更供應位置、噴淋頭234內的構造,亦可獲得同樣效果。
再者,上述雖例示利用一個處理室對單片基板施行處理的裝置構成,惟並不僅侷限於此,亦可為在水平方向或垂直方向上排列複數片基板的裝置。

Claims (14)

  1. 一種半導體裝置之製造方法,係包括有:(a)在處理室內收容已形成有機材料膜之基板的步驟;(b)對上述基板供應含金屬氣體的步驟;(c)對上述基板供應第1含氧氣體、與含有第2含氧氣體及惰性氣體中至少任一者的稀釋氣體之步驟;(d)重複上述(b)步驟與上述(c)步驟的步驟;以及在上述(d)步驟中,以將上述第1含氧氣體的供應流量設為與上述稀釋氣體同等量或較多之方式,使上述稀釋氣體的流量逐漸減少,或使上述第1含氧氣體的流量逐漸增加的步驟。
  2. 如請求項1之半導體裝置之製造方法,其中,包括有:(e)在施行上述(d)步驟既定次數後,供應第3含氧氣體的步驟。
  3. 如請求項1之半導體裝置之製造方法,其中,上述(d)步驟係包括有:依第1流量供應上述第1含氧氣體的第1步驟;以及依第2流量供應上述稀釋氣體的第2步驟。
  4. 如請求項2之半導體裝置之製造方法,其中,上述(d)步驟係包括有:依第1流量供應上述第1含氧氣體的第1步驟;以及依第2流量供應上述稀釋氣體的第2步驟。
  5. 如請求項3之半導體裝置之製造方法,其中,上述(d)步驟係連續地執行上述第1步驟與上述第2步驟。
  6. 如請求項4之半導體裝置之製造方法,其中,上述(d)步驟係連續地執行上述第1步驟與上述第2步驟。
  7. 如請求項1之半導體裝置之製造方法,其中,上述第1含氧氣體係較上述有機材料膜更易與上述含金屬氣體進行反應的氣體。
  8. 如請求項2之半導體裝置之製造方法,其中,上述第1含氧氣體係較上述有機材料膜更易與上述含金屬氣體進行反應的氣體。
  9. 如請求項6之半導體裝置之製造方法,其中,上述第1含氧氣體係較上述有機材料膜更易與上述含金屬氣體進行反應的氣體。
  10. 如請求項1之半導體裝置之製造方法,其中,上述第1含氧氣體係非電漿。
  11. 如請求項2之半導體裝置之製造方法,其中,上述第1含氧氣體係非電漿。
  12. 如請求項9之半導體裝置之製造方法,其中,上述第1含氧氣體係非電漿。
  13. 一種基板處理裝置,係包括有:處理室,其收容已形成有機材料膜的基板;第1氣體供應部,其對上述基板供應含金屬氣體;第2氣體供應部,其對上述基板供應第1含氧氣體、與含有第2含氧氣體及惰性氣體中至少任一者的稀釋氣體;及控制部,其進行控制,使上述第1氣體供應部與上述第2氣體供應部執行下述步驟;重複上述含金屬氣體之供應、及上述第1含氧氣體與上述稀釋氣體供應的步驟;與在上述重複步驟中,以將上述第1含氧氣體的供應流量設為與上述稀釋氣體同等或較多之方式,使上述稀釋氣體的流量逐漸減少,或使上述第1含氧氣體的流量逐漸增加的步驟。
  14. 一種記錄媒體,係記錄有利用電腦使基板處理裝置執行下述程序的程式:(a)在處理室內收容已形成有機材料膜之基板的程序;(b)對上述基板供應含金屬氣體的程序;(c)對上述基板供應第1含氧氣體、與含有第2含氧氣體及惰性氣體中至少任一者的稀釋氣體之程序;(d)重複上述(b)程序與上述(c)程序的程序;以及在上述(d)程序中,以將上述第1含氧氣體的供應流量設為與上述稀釋氣體同等或較多之方式,使上述稀釋氣體的流量逐漸減少,或使上述第1含氧氣體的流量逐漸增加的程序。
TW106121592A 2017-03-02 2017-06-28 半導體裝置之製造方法、基板處理裝置及記錄媒體 TWI661074B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-039349 2017-03-02
JP2017039349A JP6568127B2 (ja) 2017-03-02 2017-03-02 半導体装置の製造方法、プログラム及び記録媒体

Publications (2)

Publication Number Publication Date
TW201843335A TW201843335A (zh) 2018-12-16
TWI661074B true TWI661074B (zh) 2019-06-01

Family

ID=62045247

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121592A TWI661074B (zh) 2017-03-02 2017-06-28 半導體裝置之製造方法、基板處理裝置及記錄媒體

Country Status (5)

Country Link
US (1) US9966261B1 (zh)
JP (1) JP6568127B2 (zh)
KR (1) KR101982545B1 (zh)
CN (1) CN108531887B (zh)
TW (1) TWI661074B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020014352A1 (en) * 2018-07-11 2020-01-16 Tokyo Electron Limited Methods to reduce gouging for core removal processes using thermal decomposition materials
CN113518836B (zh) * 2019-03-06 2023-11-24 株式会社国际电气 半导体装置的制造方法、记录介质、基板处理装置和基板处理方法
JP6995902B2 (ja) * 2019-03-22 2022-01-17 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法並びに基板処理プログラム
KR102375496B1 (ko) * 2019-03-22 2022-03-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법, 그리고 기판 처리 프로그램
JP7242463B2 (ja) * 2019-07-26 2023-03-20 株式会社アルバック 半導体装置の製造方法
JP7326077B2 (ja) * 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040194691A1 (en) * 2001-07-18 2004-10-07 George Steven M Method of depositing an inorganic film on an organic polymer
CN102625861A (zh) * 2009-08-14 2012-08-01 Asm美国股份有限公司 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4933063B2 (ja) * 2005-06-24 2012-05-16 東京応化工業株式会社 パターン形成方法
JPWO2007043709A1 (ja) * 2005-10-14 2009-04-23 日本電気株式会社 半導体装置の製造方法およびその製造装置
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US9472637B2 (en) * 2010-01-07 2016-10-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same
JP6150506B2 (ja) * 2011-12-27 2017-06-21 東京エレクトロン株式会社 成膜方法
WO2014080785A1 (ja) * 2012-11-26 2014-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP6222833B2 (ja) * 2013-01-30 2017-11-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5941491B2 (ja) 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
JP6554810B2 (ja) * 2015-02-16 2019-08-07 凸版印刷株式会社 積層体及びその製造方法、並びにガスバリアフィルム
US10170354B2 (en) 2015-04-12 2019-01-01 Tokyo Electron Limited Subtractive methods for creating dielectric isolation structures within open features
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040194691A1 (en) * 2001-07-18 2004-10-07 George Steven M Method of depositing an inorganic film on an organic polymer
CN102625861A (zh) * 2009-08-14 2012-08-01 Asm美国股份有限公司 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法

Also Published As

Publication number Publication date
CN108531887A (zh) 2018-09-14
JP6568127B2 (ja) 2019-08-28
US9966261B1 (en) 2018-05-08
KR20180101130A (ko) 2018-09-12
KR101982545B1 (ko) 2019-05-27
CN108531887B (zh) 2020-07-28
JP2018147955A (ja) 2018-09-20
TW201843335A (zh) 2018-12-16

Similar Documents

Publication Publication Date Title
TWI661074B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
TWI567224B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP6613276B2 (ja) 半導体装置の製造方法、プログラム、記録媒体および基板処理装置
JP5113830B2 (ja) アモルファスカーボン膜の形成方法、半導体装置の製造方法およびコンピュータ可読記憶媒体
JP5682290B2 (ja) 炭素含有薄膜のスリミング方法及び酸化装置
JP6124477B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
KR101423019B1 (ko) 미세 패턴의 형성 방법
TWI694518B (zh) 基板處理裝置、石英反應管、清潔方法暨記錄媒體
TWI660472B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP6721695B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
TWI519672B (zh) A substrate processing apparatus, a gas dispersion unit, a manufacturing method of a semiconductor device, and a recording medium
US10224227B2 (en) Method of processing substrate
JP5473962B2 (ja) パターン形成方法及び半導体装置の製造方法
JP6046757B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
TWI584394B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium on which a program is recorded
JP7231683B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7514876B2 (ja) 基板処理装置、半導体装置の製造方法及び基板支持具
JP5344824B2 (ja) レジストパターンの形成方法および記録媒体