CN102625861A - 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法 - Google Patents

利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法 Download PDF

Info

Publication number
CN102625861A
CN102625861A CN2010800367646A CN201080036764A CN102625861A CN 102625861 A CN102625861 A CN 102625861A CN 2010800367646 A CN2010800367646 A CN 2010800367646A CN 201080036764 A CN201080036764 A CN 201080036764A CN 102625861 A CN102625861 A CN 102625861A
Authority
CN
China
Prior art keywords
gas
substrate
nitrogen
oxygenant
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800367646A
Other languages
English (en)
Other versions
CN102625861B (zh
Inventor
E·谢罗
P·雷伊塞宁
S.H.金
王长工
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN102625861A publication Critical patent/CN102625861A/zh
Application granted granted Critical
Publication of CN102625861B publication Critical patent/CN102625861B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/60Feed streams for electrical dischargers
    • C01B2201/64Oxygen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述了其中在反应室中的衬底上沉积薄膜的系统和方法。在示例性方法中,所述方法可包括对衬底施加原子层沉积循环,其中,所述循环可包括使所述衬底与前体气体接触前体脉冲时间,然后除去所述前体气体,并使所述衬底与包含氧化剂气体和含氮类气体的氧化剂接触氧化脉冲时间,然后除去氧化剂。本发明的各方面利用分子和受激氮-氧自由基/离子形式,可能还与氧化剂如臭氧组合。本发明的实施方式也包含电子元件,以及包括使用按照本发明的方法制造的器件的系统。

Description

利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法
相关申请的交叉参考
本申请涉及并要求2009年8月14日提交的名为“利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法”的临时专利申请序列号61/234,017;2010年5月7日提交的名为“利用受激氮-氧类进行的金属氧化物薄膜沉积系统和方法”的临时专利申请序列号61/332,600;以及2010年8月11日提交的名为“利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法”的美国发明专利申请序列号12/854,818的优先权,其中上述各专利作为参考文献引入本文中。
发明说明
发明领域
本申请涉及薄膜沉积,并且更具体涉及使用前体通过利用臭氧和受激氮-氧类的原子层沉积法沉积金属氧化物的系统和方法。
背景技术
多年来,二氧化硅(SiO2)作为例如晶体管栅电介质和电容电介质的元件已用于半导体衬底中。然而,由于电路元件尺寸减小,SiO2的电性能特性导致不希望有的后果,例如增加泄漏电流。当较老一代的电介质例如SiO2用于制造较新的集成电路几何结构时,控制泄漏电流以保持高速及低功率性能是一个难题。
较新的工艺,尤其是那些使用制造几何结构小于65nm的工艺已经开始在半导体制造中包括高介电常数(高k)绝缘体。尤其是对于45nm以及更小工艺的几何结构,一些芯片制造商现在依赖于高k电介质。用高k电介质取代SiO2栅电介质对于获得更小的器件几何结构同时控制泄漏及其它电性能标准是重要的。
当高k电介质的使用便于集成电路元件例如晶体管栅电介质按比例缩得更小时,在它们的制造中存在难题。已知某些金属和稀土氧化物例如氧化锆,氧化钛,氧化铪,氧化钽,氧化铝,氧化钇,以及氧化镧提供所希望的特性,沉积为薄膜时在制造工艺期间仍存在问题,例如工艺化学之间的不相容性,沉积循环时间延长,以及低于所需的沉积均匀性。
有各种各样的方法及相关的设备在衬底例如半导体上提供薄膜。一些在衬底上形成薄膜的方法利用半导体上的表面反应,例如真空蒸发沉积,分子束外延,化学气相沉积(CVD)的不同变种方法(包括低压CVD,有机金属CVD和等离子体增强CVD)以及原子层外延(ALE)。ALE也被称为原子层沉积(ALD)。
ALD是一种通过不同前体物质的顺序引入在衬底的表面上沉积薄膜的方法。常规的ALD设备可包括反应室,该反应室包含反应器和衬底支架;气流系统,该气流系统包含用于对衬底表面提供前体和反应物的气体进口;和用于去除已用的气体的排气系统。生长机理依赖衬底活性部位上吸附前体并且优选保持的条件使得在衬底上形成仅仅一个单层,从而自终止该工艺。使衬底与第一前体接触,通常后面是清除阶段或其它去除工艺(例如排空或“抽空”),其中任何过量的第一前体以及任何反应副产物从反应室去除。然后第二反应物或者前体被引入反应室中,此时它与第一前体反应,并且该反应在衬底上形成所需的薄膜。当所有吸附于衬底的可用的第一前体物质与第二前体反应后反应终止。随后实施第二或其它去除阶段以除去反应内的任何剩余第二前体以及可能的反应副产物。该循环可重复以使薄膜生长至所需的厚度。
ALD相比其它沉积工艺的一个公认的优点是它是自饱和的且均匀,只要温度在ALD范围内(其高于反应物的冷凝温度并且低于反应物的热分解温度),并且在每个脉冲中提供足够的反应物以使表面饱和。因此,温度和气体供给都不需要完全均匀以获得均匀的沉积。
ALD还描述于芬兰的专利公布52359和57975以及美国专利号4058430和4389973。实施这些方法的设备揭示于美国专利号5855680,6511539和6820570,芬兰专利号100409,Material Science Report 4(7)(1989),第261页,以及Tyhjiotekniikka(真空技术的芬兰出版物),ISBN951-794-422-5,第253-261页。
不同的薄膜材料已经使用ALD沉积。用于ALD的已知的材料包括二元氧化物例如Al2O3,HfO2,ZrO2,La2O3和Ta2O5。众所周知,多种三元氧化物也是用于ALD的材料并且包括HfZrO,HfAlO和HfLaO。如前面讨论的,用于高k电介质应用的合适材料的选择需要考虑沉积的物质对具体衬底和电路环境的影响,以及仔细考虑工艺化学。在HfLaO的ALD情况下,一种已知的Hf前体是HfCl4以及一种已知的La前体是La(THD)3。由于La2O3的吸湿性,在现有技术工艺中臭氧(O3)通常用于取代H2O作为氧化剂,但不幸的是,HfCl4/O3工艺和La(THD)/O3工艺都对臭氧中即使存在小的变化也高度敏感。在某些情况下,臭氧的使用也导致沉积的氧化物薄膜低于所需的均匀性。而且,当最好是可以以一定的方式使用单一氧化剂(例如臭氧)以获得有效和一致的沉积结果时,使用两种不同的氧化化学物质使沉积工艺变复杂,不管沉积工艺中使用的金属前体是何种类型。
等离子体放电能用于激发气体生成包含离子,自由基,原子和分子的活性气体。活性气体用于大量的工业和科学应用,包括处理固体材料例如半导体晶片,粉末,和其他气体。等离子体的参数和等离子体接触要处理的材料的条件根据应用有很大变化。
等离子体能用多种方式产生,包括电流放电,射频(RF)放电,和微波放电。电流放电通过在气体中的两个电极之间施加电压来实现。RF放电通过将来自电源的能量静电耦合或者感应耦合成等离子体来实现。平行板通常用于将能量静电耦合成等离子体。感应线圈通常用于将电流感应成等离子体。微波放电通过使微波能量穿过微波经过窗口进入包含气体的放电室直接耦合来实现。微波放电是有利的,因为它们能用于支持各种各样的放电条件,包括高度电离的电子回旋共振(ECR)等离子体。
ALD系统使用基于等离子体的方法来生成氧化剂气体例如臭氧。在一个常规配置中,电介质阻挡放电(DBD)臭氧发生器从作为原料气提供给电晕放电源的氧气(O2)生成臭氧(O3)。参照图5,示出了一个简化的臭氧发生器单元500。通常,干燥的原料气氧气530通过在电极510A,510B之间形成的间隙505,又通过高电压源例如交流(AC)电压源560使电极510A,510B带电。通过高电压源560产生的电压能达到几千伏,取决于发生器的配置。或者,电极中的一个可处于大地电位,且使另一个电极带电到高电压。电介质材料520A,520B被插在带电的电极510A,510B和原料气530之间。当对电极510A,510B施加低频或高频的高电压时,臭氧550通过发生在间隙505中的微波放电在原料气中生成并且在整个电介质520A,520B上分布。间隙的几何尺寸以及电介质材料的质量随臭氧发生器制造商改变。值得注意的是,DBD器件能以多种配置的方式制造,通常是使用被电介质分隔的平行板的平面的或者使用在其间具有电介质管的共轴板的圆柱形式。在通用的共轴配置中,电介质的形状与通用的荧光管相同。其在大气压下使用稀有气体或者稀有气体卤化物混合物充气,用玻璃壁作为电介质阻挡层。通常的电介质材料包括玻璃,石英,陶瓷和聚合物。电极间的间隙距离变化相当大,从0.1mm到几个cm,取决于应用。原料气的组成也是臭氧发生器操作中的一个重要因素。
利用DBD原理的高性能臭氧发生器在原料气中需要氮气以获得最佳性能且始终如一地生成臭氧。臭氧的形成包含在氧原子,氧分子和碰撞分子例如O2,N2或其它可能的分子之间的反应。如果碰撞分子是氮,氮分子能够在碰撞后将它们的激发能转移到氧分子中引起离解。一些形成的受激氮自由基也可离解氧或者与氮氧化物反应以释放氧原子。工艺期间可生成多种不同形式的氮-氧化合物---NO,NO2,N2O,和N2O5,已经在输出DBD型臭氧发生器中测量出。一些制造商集中力量去减少或完全消除他们的臭氧发生器输出的臭氧流中存在的某些N-O类,因为在某些情况下,可发生气体管道和焊缝被N-O化合物侵蚀性腐蚀。常规臭氧发生器中,对臭氧发生器输出流中的N-O化合物的存在和类型的控制是不足的,并且需要能够监测和/或有效控制这类化合物的形成和产生。
因此,需要一种以通过时间减少和的沉积均匀性增强的方式在衬底上沉积电介质薄膜的方法。也需要一种监测和/或控制在氧化剂发生器例如臭氧发生器中生成的氮-氧化合物的系统。
发明概述
本发明包括以沉积效率和均匀性提高的方式在衬底上沉积金属氧化物薄膜例如氧化铪(HfO2),氧化锆(ZrO2),氧化镧(La2O3)和/或氧化钽(Ta2O5)的方法和系统。本发明的实施方式利用ALD系统结合如下所述的多种前体与分子和受激氮-氧自由基/离子形式(下文中称为“NxOy类”,其中“x”和“y”可为任意合适的整数,且其可包括受激类例如NO*和N2O*)的组合,可能进一步与氧化剂例如臭氧组合。本发明的实施方式也包括电子元件和包含用按照本发明的方法制造的器件的系统。
在使用金属卤化物前体/臭氧氧化剂化学物质ALD沉积薄膜金属氧化物中进行的实验期间,观察到当衬底接触利用纯氧原料气生成的臭氧氧化剂时在衬底上不发生生长。然而,当气态氮加到臭氧发生器的氧气流中时(这通常是增加臭氧产生的效率的作法),在ALD沉积工艺期间观察到了层生长。例如,在使用从纯氧生成的臭氧的多种实验中,在300℃下无法沉积均匀的HfO2或ZrO2层,但是当臭氧是从氧/氮原料气生成时,能够沉积均匀的层。不同的实验也表明生长速度和均匀性依赖于在臭氧发生器中使用的氮的量相对于氧原料气的量。
通过实验进一步确定用于臭氧产生的N2原料气的浓度影响沉积工艺。图10示出了一个这种实验的图,其中0ppm的N2表明几乎没有均匀生长,40ppm的N2导致生长增加,以及当N2调整到400ppm时,发生明显的均匀生长。然后进行附加的实验,如图11-12所示,臭氧发生器中使用的氧气流量为2.5slm,18wt%,该氧气流用闭环控制,具有图中所示的不同浓度的N2。流入反应室的臭氧注入流量是1200sccm。HfCl4前体用脉冲送入该室3秒,随后3秒清除,以及从臭氧发生器获得的气体随后用脉冲送入该反应室10秒,随后10秒清除。结果,当氮的浓度增加时沉积的金属氧化物层的生长速度立即开始增加,并且当氮的浓度达到约110ppm(如图11的近视图所示,其显示了图12中的最左边部分图)时达到第一峰值且随氮浓度进一步增加逐渐地开始下降。同样,均匀性(NU%)提高了并在约110ppm氮浓度时达到它的最佳值。图12表明当N2浓度增加时另外的影响;首先,直到约4000ppm的N2范围内厚度下降且均匀性减少,但随后随N2浓度增加,其本身的趋势反转,在24000ppm的N2周围明显变平。根据沉积层的生长速度和均匀性的所需的效果,可调整N2的浓度以获得该所需的效果。图13表明使用相似的HfCl4前体和工艺参数的工艺的不同的视图,但是表明生长速度和均匀性随送入臭氧发生器的N2原料气流速而变化。图中能看出,增加N2流量导致生长速度实质上增加并且沉积的氧化铪层的均匀性改善。
使用其它ALD前体化学物质的实验也证明当臭氧发生器中氮原料气浓度增加时金属氧化物的沉积有所改善。图14所示的图表明随送入臭氧发生器中的氮原料气的量增加用ALD工艺沉积的氧化镧薄膜的厚度和均匀性(NU%)改善。该情况下使用的前体是稀土的环戊二烯基(Cp)化合物La(iPrCp)3
进行另外的测试以确定在ALD工艺中单独使用强氧化剂N2O作为氧化剂气体时是否能使用HfCl4和TMA前体化学物质使金属氧化物层生长。该N2O气体不是由臭氧发生型器件而是由钢瓶提供,并且不管ALD工艺期间使用什么温度,这种配置中均未观察到生长。然而,如前面所述臭氧产生期间形成的活性N-O化合物对产生均匀层生长是有效的。
确定了由使氧和氮与等离子体源接触产生的多种氮化合物形成活性化合物,增强薄膜沉积工艺的生长速度和均匀性。本发明的实施方式利用氮和氧化合物,尤其从使组成气体与等离子体源接触而获得的受激N-O类,获得ALD工艺中金属氧化物层的均匀生长。相关领域技术人员也理解受激N-O类的使用也可用于前述的其它类型的沉积工艺。
在一个实施方式中,本发明的方法和系统利用包含离子和自由基形式的氮-氧化合物活性形式(这里称为活性NxOy类,其中“x”和“y”可为任意合适的整数)的活性气体来增强包括稀土氧化物的薄膜金属氧化物的沉积。在反应器中使衬底受到一个ALD前体脉冲/清除循环的作用后,在氧化脉冲期间气体中的离子/自由基被引入具有衬底的反应器中,。使引入的气体能接触将被处理的材料,由此发生所需的反应。在一个实施方式中,沉积材料的含有机金属卤化物或金属卤化物的层被与或不与另外的氧化剂例如臭氧一起引入的活性NxOy类氧化。
如本文所用的,“衬底”表示其上实施薄膜工艺过程的任何表面。例如,其上能实施工艺过程的衬底可由以下材料组成:例如硅,氧化硅,绝缘体上硅(SOI),碳掺杂氧化硅,氮化硅,掺杂硅,锗,砷化镓,玻璃,蓝宝石,或者其它合适材料例如金属,金属氮化物,金属合金,或其它导电材料,印刷有机或无机电路板,或者薄膜陶瓷衬底,取决于应用。在一个优选的实施方式中,衬底包括半导体。衬底表面的阻挡层,金属或金属氮化物包括钛,氮化钛,氮化钨,钽,氮化钽。衬底具有任意所需的尺度,例如200mm或300mm直径晶片,并且也可采用矩形或正方形面板的形式。
如本文所用的,“脉冲”表示一定量的间歇的或非连续引入反应室的反应区的化合物的引入。每个脉冲内具体化合物的量可随时间变化,取决于脉冲的持续时间。如下面更充分解释,每个脉冲的持续时间根据许多因素来选择,例如,所用工艺室的容量,与其连接的真空系统,以及具体化合物本身的挥发性/反应性。
在一个实施方式中,提供一种在位于反应室内的衬底上沉积薄膜的方法,该方法包括对衬底施加原子层沉积循环,该循环包括:使衬底与前体气体接触前体脉冲时时间,随后去除该前体气体;以及使衬底与包含氧化剂气体和含氮类气体的氧化剂接触氧化脉冲时间,随后去除该氧化剂。前体气体可包括任何合适金属,且本发明的多种实施方式包括的前体气体包含下组的一种或多种稀土金属:例如Sc,La,Ce,Pr,Nd,Sm,Eu,Gd,Th,Dy,Ho,Er,Tm,Yb,和Lu。前体气体可包括任何所需的化合物例如金属化合物,有机金属化合物,或者金属卤化物化合物,包括但不限于四氯化铪(HfCl4);四氯化钛(TiCl4);五氯化钽(TaCl5):五氟化钽(TaF5);四氯化锆(ZrCl4);稀土的β-二酮化物,包括(La(THD)3)和(Y(THD)3);稀土的环戊二烯基(Cp)化合物,包括La(iPrCp)3;稀土的脒化物化合物,包括三甲脒镧La(FAMD)3;包含稀土金属的环辛二烯化合物;烷基酰氨基化合物,包括:四(乙基甲基氨基)铪(TEMAHf);四(二乙基氨基)铪((Et2N)4Hf或者TDEAH);以及四(二甲基氨基)铪((Me2N)4Hf或TDMAH);醇盐;硅的卤化物化合物;四氯化硅;四氟化硅;和四碘化硅。
氧化剂气体可包括任意合适的氧化剂,并可包括仅含氮类气体。该含氮类气体可包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*。该氧化剂优选包含臭氧与一种或多种选自下组的气体的组合:O,O2,NO,N2O,NO2,NO3,N2O5,NOx,NxOy自由基形式,NxOy离子形式,NxOy分子形式,及其组合。多种有效浓度的臭氧可用于氧化剂气体中,包括约5原子%至25原子%的O3。氧化剂气体可包括来由分解工艺产生的分子,或活性离子或自由基形式,例如但不限于N2O5*的分解产物例如NO2*和NO3*。
本发明的实施方式中使用的臭氧可由供给O2和氮源气体的等离子体放电产生,氮源气体可包括N2或氮的任意气态源例如NO,N2O,NO2,NO3,和N2O5,在多个实施方式中,臭氧发生器的输出流可包括,包含分子NxOy类和/或受激NxOy自由基或离子形式的含氮类气体,并可包括下组中的两种或多种的混合物:O2,NO,N2O,NO2,NO3,N2O5,NOx,NxOy,它们的自由基和O3,并且该混合物包含约5原子%至25原子%的O3。任何所需的流量比可用于产生臭氧和NxOy类,包括N2/O2的流量比超过0.001的混合物。氧和氮源气体之比也可影响ALD工艺的其它方面,包括沉积薄膜的生长速度;整个衬底上的薄膜均匀性;沉积薄膜的介电常数;沉积薄膜的折射率;以及沉积薄膜的分子组成。输出流可包括由分解工艺产生的气体混合物,例如但不限于N2O5的分解产物例如NO2和NO3
本发明的发生器的实施方式可通过至少控制功率输入,氧气输入或氮输入来调整。在一个实施方式中,功率输入控制等离子体,并且对等离子体的输送功率的量决定下组中的至少一个:沉积薄膜的生长速度;整个衬底上的薄膜均匀性;沉积薄膜的介电常数;沉积薄膜的折射率;以及沉积薄膜的分子组成。进一步提供一种方法以调整氧化剂的产生,例如通过使O2和氮源气体受到等离子体放电的作用产生O3;监测等离子体放电产生的O3和受激NxOy类之比;以及调整下组条件中的至少一个以达到预定的标准:等离子体放电的输入功率,外壳的温度;O2的流速和氮源气体流速。该标准可选择为发生器操作的任何合适的参数,包括氧化剂流速;氧化剂/NxOy浓度之比;活性NxOy类浓度;活性NxOy类的之比,其中受激NxOy类气体包含多种受激氮-氧化合物;以及具体活性氮-氧化合物的浓度。
本发明的实施方式可包括任意组合的另外的前体脉冲和氧化剂脉冲。该方法还包括使衬底与第二前体气体接触第二前体脉冲时间,随后去除该第二前体气体;以及去除该第二前体气体后,使衬底与包含氧化剂气体和含氮类气体的氧化剂接触氧化脉冲时时间,随后去除该氧化剂。通常,本发明的方法包括使用金属卤化物前体和氧化剂沉积任何薄膜叠层中的至少一层的金属氧化物,该氧化剂包含臭氧及受激氮-氧类。该金属氧化物可包括下组中的至少一种:例如,Al2O3,HfO2,ZrO2,La2O3和Ta2O5。该金属卤化物包括任意金属以化合物与任意卤化物元素的组合。
该ALD循环可重复任意次数以达到任何所需的目标例如预定的层厚度。每个ALD循环的前体顺序反复的次数也可以改变,就象每个ALD循环实施第一前体气体顺序的数目与实施第二前体气体顺序的数目之比可以改变一样。
使多种气体与衬底接触的脉冲时间可选择为满足任意所需的工艺标准,例如沉积层的生长速度或循环通过时间。在一个实施方式中,第一前体脉冲时间在300毫秒到5秒的范围内;第一氧化脉冲时间在50毫秒到10秒的范围内;第二前体脉冲时间在500ms到10秒的范围内;以及第一氧化脉冲时间在50毫秒到10秒的范围内。在一个优选的实施方式中,第一前体脉冲时间在1秒到2秒的范围内;第一氧化脉冲时间在50毫秒到2秒的范围内;第二前体脉冲时间在1秒到4秒的范围内;以及第一氧化脉冲时间在50毫秒到2秒的范围内。
气体和反应副产物可使用任意所需的技术从反应室去除。一个示例中,去除前体气体和氧化剂气体的方法包括将清除气体引入到反应室中达预定的清除时间,其中该清除气体包括以下气体中的至少一种:氩,氮,氦,氢,形成气体,氪,和氙;以及该清除时间可选择在约3秒到10秒的范围内。在另一个实施方式中,该清除时间在500毫秒到4秒的范围内。在一个实例中,去除前体气体和氧化剂气体中的一种或多种气体的方法包括从反应室抽气达预定的抽气时间。
电子器件可通过按照本发明的方法来制造。这种器件包括电容器,晶体管,FLASH存储单元,以及DRAM存储单元,不管是以独立元件制造还是在半导体或其它衬底内形成。该电子器件可包含金属氧化物电介质层和与该电介质层互通的导体层,该电介质层以前面所述的方式通过施加ALD循环以薄膜的形式沉积到衬底上。
如下面更完全的描述,也提出一种系统,其包含:反应室;与该反应室连接的前体反应物源;与该反应室连接的清除气体源;与该反应室连接的氧化剂源;与该反应室连接的受激氮类源;以及系统操作和控制机构,其中,该系统配置成实施本文所述的任何方法的步骤。应当理解本文中本发明的描述仅是示例性和说明性的,并且对要求保护的本发明不是限制性的。
附图简述
图1显示本发明一个实施方式的工艺流程。
图2显示本发明薄膜处理系统的示意图。
图3A显示本发明的具有分开的氧化剂和NxOy类源的薄膜处理系统的示意图。
图3B显示本发明的在反应室内具有NxOy类源的薄膜处理系统的示意图。
图4显示本发明的氧化剂/NxOy类源的一个实施方式。
图5显示现有技术的简化的DBD臭氧发生器单元。
图6绘出了具有通过按照本发明的方法形成的电介质层的金属氧化物晶体管。
图7显示具有至少一层通过按照本发明的方法形成的电介质层的存储单元。
图8显示包含一个包括通过按照本发明的方法形成的电介质层的电子元件的常规系统。
图9显示包含包括通过按照本发明的方法形成的电介质层的电子元件的信息处理器件例如计算机。
图10显示绘出臭氧发生器中在多种氮原料气浓度下HfO2层生长速度的图。
图11显示绘出氮原料气浓度变化时测量沉积的氧化铪厚度和均匀性的另一个实验的图,并表示了图12的最左边部分。
图12显示绘出氮原料气浓度变化时另一个测量沉积的氧化铪厚度和均匀性的实验的图。
图13显示绘出氮原料气流速变化时测量沉积的氧化铪厚度和均匀性的实验的图。
图14显示表明随送入臭氧发生器的氮原料气的量增加沉积的氧化镧薄膜厚度和均匀性改善的图。
图15显示绘出在比较的氮/氧之比的条件下臭氧发生器中在氮原料气的多种浓度下HfO2层生长速度的图。
图16显示在N2∶O2的原料气的条件下在O3输送单元出口监测的N2O5和N2O的监测结果。
优选实施方式的说明
下面对本发明示例性实施方式进行详细介绍,其中的示例图示于附图。
本发明的实施方式提供用于多种应用的制备薄膜的方法,尤其是用于晶体管,电容器,和存储单元制造的沉积高k电介质材料和阻挡层材料的方法。该方法包括使用原子层沉积(ALD)工艺在衬底上沉积金属氧化物薄膜层。
在本发明的ALD沉积期间以薄膜形式沉积的材料可以是任意所需的材料例如电介质材料,阻挡层材料,导电材料,成核/晶种材料或粘附材料。在一个实施方式中,沉积的材料可以是包括氧和至少一个额外元素,例如镧,铪,硅,钽,钛,铝,锆,或其组合的电介质材料。并且在一个优选的实施方式中,沉积的材料包括金属氧化物,且更具体是稀土金属氧化物。在另外的实施方式中,电介质材料可包含氧化铪,氧化锆,氧化钽,氧化铝,氧化镧,氧化钛,氧化硅,氮化硅,它们的氮氧化物(例如,HfOxNy),它们的硅酸盐(例如,HfSixOy),它们的铝酸盐(例如,HfAlxOy),它们的硅氮氧化物(例如,HfSixOyNz),以及它们的组合。电介质材料也可包含不同组成的多层。例如,层状薄膜可通过将氧化硅层沉积到氧化铪镧层上形成铪镧硅酸盐材料来形成。
在一个实施方式中,本发明的方法和系统利用包含离子和自由基形式的氮-氧化合物活性形式(下文中称为活性NxOy类)的活性气体来增强包括稀土氧化物的薄膜金属氧化物的沉积。在一个实施方式中,在ALD工艺的一个脉冲期间在金属前体脉冲以后可能与氧化剂例如臭氧一起对衬底提供NxOy类。
市售的臭氧输送系统(如和ALD方法结合使用的那些)通常依赖电介质阻挡放电且经常在原料气中使用氮气以提供始终如一产生的臭氧。通过这复杂的一系列等离子体反应,多种NxOy类也能在N2存在下在电晕内由O2形成。这类物质虽然以多种浓度存在于发生器流出物中,但是它们不能用仅测量和有效控制O3浓度的输送系统调节。
使用臭氧的多个ALD工艺对臭氧产生的条件极其敏感。例如,用实验方法观察到在HfO2沉积速度和薄膜均匀性中的宽的响应在交叉流动的热ALD反应器中是随O2∶N2原料气之比和反应器温度而变化的,HfCl4/O3 ALD(使用纯O3)具有低反应器温度(200-250℃)的工艺窗口。更高温度下(例如300℃),当O3产生期间加入N2时用实验获得均匀的HfO2层,如图15所示。这些实验的结果表明基于臭氧的ALD中反应物质可以不仅仅是O3,而是在300℃时NxOy类也参与了。
因此,进行研究首先使用FTIR表征进入(从臭氧输送系统)和离开ALD反应室的气态物质随O2∶N2原料气之比,O3浓度,以及发生器功率水平而变化。在具有N2∶O2原料气的O3输送单元的出口检测N2O5和N2O,如图16所示。经研究,O3和NxOy类的寿命随反应器温度和涂层材料(HfO2,Al2O3,等)而变化。臭氧与吸附的HfO2-HfCl3半反应期间反应器流出物的FTIR分析用于阐明在HfO2沉积中NxOy类的作用。基于FTIR,以及围绕在可能的反应路径上O3和NxOy类的作用的理论可以确定在多种臭氧输送条件下沉积的HfO2的ALD沉积速度,薄膜均匀性,以及多种整体和薄膜电性能。结果,本发明的实施方式包括:当使用各种分子和受激NxOy类作为来自臭氧发生系统的额外输出物引入反应室时,在层厚度和一致性方面改进的ALD沉积。
参照图1,显示出使用活性气体化合物例如NxOy类沉积薄金属氧化物膜的方法100。在工艺100的开始(105),将衬底置于反应室内,并将其加热到预定温度。该预定温度可包含任何所需的温度,且本发明的实施方式可包括例如130℃到300℃的温度。工艺100的进行期间,反应室维持在任何所需的压力范围例如从约1m托到约200托(Torr),在本发明的实施方式中约2托到6托,且在另一个实施方式中,从约3托到4托,以及另一个优选实施方式中,反应室压力维持在约3.5托。
载气可连续地或间歇地进入反应室,并且用于分布前体产物,反应产物,和氧化剂产物或者从反应室清除剩余的气体或反应副产物。合适的载气或者清除气体可包括氩,氮,氦,氢,形成气体,或其组合。
ALD工艺开始(105)后,前体气体在有或者没有载气的条件下用脉冲(110)送入反应室。前体气体可包括任意所需的化合物例如金属化合物,有机金属化合物,或者金属卤化物化合物,包括但不限于四氯化铪(HfCl4);四氯化钛(TiCl4);五氯化钽(TaCl5);五氟化钽(TaF5);四氯化锆(ZrCl4);稀土的β-二酮化物化合物,包括(La(THD)3)和(Y(THD)3);稀土的环戊二烯基(Cp)化合物,包括La(iPrCp)3;稀土脒化物化合物,包括三甲脒镧La(FAMD)3;包含稀土金属的环辛二烯化合物;烷基酰氨基化合物,包括:四(乙基甲基氨基)铪(TEMAHf);四(二乙基氨基)铪((Et2N)4Hf或者TDEAH);以及四(二甲基氨基)铪((Me2N)4Hf或TDMAH);醇盐;硅的卤化物化合物;四氯化硅;四氟化硅;和四碘化硅。
本文所述的气体脉冲期间,反应室中的衬底与进入的气体接触预定的时段,且该时段在本文中称为脉冲时间。用于将前体气体提供给衬底的脉冲时间可预定为任何所需的时间,例如可包括约300毫秒到5秒的时间范围,并且在一个实施方式中,该脉冲时间在1秒到3秒的范围内。
使衬底与前体气体接触预定的脉冲时间后,前体气体通过清除气体的进入和/或抽气或泵吸从反应室清除(120)。清除时间,或者使清除气体进入反应室置换和/或去除其它气体或反应副产物的时间,可选择为任何所需的时间例如约3到10秒,以及在某些实施方式中,为约500毫秒到5秒。
如上所定义的活性NxOy类被引入(130)反应室,且在一个实施方式中,步骤(110)中沉积的前体材料层通过与或不与另外的氧化剂例如臭氧一起引入的活性NxOy类来氧化。在该步骤(130)期间氧化剂/氧化剂气体或者氧化剂/氧化剂气体的组合可同时或顺序引入反应室与第一前体反应。该NxOy类气体也可与或不与载气例如氮N2一起引入,且进一步可能与氧化剂气体或氧化剂气体的混合物一起引入。如前所述,该NxOy类可包含任何活性的,离子或自由基N-O化合物例如活性一氧化二氮(N2O*),一氧化氮(NO*),五氧化二氮(N2O5*),或者二氧化氮(NO2*)。该NxOy类可以任何所需的方式产生,且在一个实施方式中,该NxOy类通过从被送入O2,N2,N2O,NO,NH3或其中含氮分子的浓度高于5sccm/2000sccm或2000ppm的任何含氮分子的臭氧发生器中通过等离子体放电而生成。在另一个实施方式中,该NxOy类通过远程或直接等离子体方法例如感应耦合,ECR(电子回旋共振),电容耦合方法,用任何原料气在反应室内生成或者被送入反应室。在又一实施方式中,NxOy类通过将氮-氧气体例如NO或N2O送入电晕放电(例如有臭氧发生器提供)(或者远程或直接等离子体源)中在没有额外的氧的情况下而生成。将额外的N2可与氮-氧气体一起提供给电晕放电或者等离子体源。在再一个实施方式中,将化学计算量的N2+O2提供给电晕放电或等离子体源以生成NxOy*(例如,NO自由基)。
任何所需的氧化剂气体可用于该ALD工艺的任何步骤中,并这种氧化性气体可包括氧气(O2),臭氧(O3),原子氧(O),水(H2O),过氧化氢(H2O2),一氧化二氮(N2O),氧化氮(NO),五氧化二氮(N2O5),二氧化氮(NO2),其衍生物或其组合。在一个优选的实施方式中,氧化性气体是臭氧/氧气(O3/O2)混合物,使臭氧浓度在O3/O2混合物中约为5原子%O3到约25原子%O3的范围内。在一个NxOy类和氧化剂例如臭氧/氧气(O3/O2)混合物同时引入的实施方式中,NxOy类可以是氧化性气流的1体积%以上。在另一个优选实施方式中,加入到NxOy类气体中的氧化性气体是臭氧/氧气(O3/O2)混合物,使臭氧浓度在O3/O2混合物中约为12原子%O3到约18原子%O3的范围内。
该NxOy/氧化剂步骤(130)持续预定的脉冲时间,并且该步骤的持续时间可以是任何合适的时间范围例如约50毫秒到10秒,且在另一个实施方式中,该第一氧化脉冲时间在50毫秒到2秒的范围内。该NxOy气体或者NxOy/氧化剂气体随后通过清除气体的进入和/或抽气或泵吸从反应室清除(140)。清除时间可选择为任何合适的时间例如约3-10秒,且在某些实施方式中约为500毫秒。
一旦该NxOy类气体或者NxOy/氧化剂气体从反应室清除,图1的工艺100继续,这里要确定(150)是否重复(160)该顺序。该确定可根据任何所需的标准作出。例如,它可根据达到沉积物质的具体浓度,厚度,和/或均匀性所需的前体气体脉冲顺序的数目。该确定也可在NxOy脉冲步骤前结合多个前体/清除步骤的另一实施方式的情况中根据所需的前体之比作出,尤其是其中在接触NxOy类之前将多个不同前体施加到衬底上以获得所需的衬底例如三元金属氧化物的实施方式中。例如,可以以任何顺序,在一个前体脉冲中使用含镧前体并且在另一个前体脉冲中使用含铪前体以在NxOy脉冲步骤后生成HfLaO氧化物层。工艺100重复(160)直到满足该预定的标准,然后,该工艺终止(155)。
图2示意地图示薄膜处理系统200的一个示例性实施方式,其包括反应室,该反应室还包括在预定压力,温度和环境条件下保持衬底的机构(未示出),用于使衬底选择性接触多种气体。前体反应物源220通过导管或其它合适器件220A与反应室连接,且还可与岐管,阀门控制系统,质量流量控制系统,或其它机构连接以控制源自前体反应物源220的气态前体。由前体反应物源220供给前体(未示出),反应物(未示出)在室温及大气压条件下可以是液态或固态。这种前体在反应物源真空容器内气化,该容器在前体源室内可保持在气化温度或气化温度之上。这类实施方式中,气化的前体用载气(例如,非活性或者惰性气体)输送且随后通过导管220A送入反应室210。在其它实施方式中,前体在标准条件下可以是蒸气。在这些实施方式中,前体不需要气化并且也不需要载气。例如,在一个实施方式中前体储藏于气体钢瓶中。
清除气体源230也与反应室210连接,并且将多种惰性或稀有气体选择性地供给反应室210以帮助从反应室去除前体气体,氧化剂气体,NxOy类气体或废气。可供给的该多种惰性或稀有气体可来源于固态,液态,或存储的气态形式。氧化剂/NxOy类源240再通过与反应室连接的导管或其它合适的器件220A与反应室210连接240A,并且还可与岐管,阀门控制系统,质量流量控制系统,或其它机构连接以控制源自前体反应物源220的气态的氧化剂/NxOy类气体。
该氧化剂/NxOy类源240通过任何所需的机构和任何所需的原料气,包括常规臭氧发生器,直接或远程等离子体发生器等产生臭氧和NxOy类。图4显示本发明的氧化剂/NxOy类源240的一个实施方式,其中输出流240A包括通过从发生器430等离子体放电生成的NxOy类,所述发生器430由与该发生器连接420的氧化剂源410供给氧化剂例如O2,氮源430与该发生器430连接440,并且该发生器供给N2,N2O,NO,NH3或其它含氮分子。发生器430还可包含臭氧发生器例如DBD发生器,或者利用任何远程或直接等离子体活化方法例如感应耦合,ECR(电子回旋共振),或电容耦合方法的发生器。
在另一个实施方式(未示出)中NxOy类通过将氮-氧气体例如NO或N2O送入发生器430中的电晕放电中在无另外的氧化剂的情况下生成。另外的N2可与氮-氧气体一起提供给发生器430。在又一个实施方式中,将化学计算量的N2+O2提供给发生器430以生成NxOy*(例如,NO自由基)。
使用传感器450监测由发生器430生成的氧化剂和NxOy类的数量,组成,和/或浓度。传感器450可包含任何适合的硬件,机构,或软件以监测所需的NxOy自由基或离子形式和/或氧化剂的存在,并且在多个实施方式中,可包括传感器,包括傅立叶变换红外光谱分析仪,UV吸收传感器,密度传感器,电导率/介电常数传感器,化学发光传感器,或者气相色谱传感器。传感器450还可与NxOy类发生器控制460连接,该NxOy类发生器控制460通过多个用户或自动操作输入470,配置发生器430,氧化剂源410,氮源430,和可选的载气源(未示出)以在输出流240A生成所需组成和体积的NxOy类和其它气体。在某些实施方式中,这种其它气体可包括氧化剂例如所需比的O2/O3或其它气体。例如,但不作为限制,发生器控制460可调节输入发生器430的功率输入(未示出)以改变在气态输出流240A中活化的离子或自由基N-O化合物类型的组成。根据与发生器430连接和/或与它的输出流240A配合的传感器450,以及通过配置成接收传感器450的信号来指示输出流240A组成和体积的变化的控制460,能通过软件和/或电子硬件实施闭环控制来操作用电或气动控制的阀门以控制氮源气体,氧化剂源气体,载气,或其它气体的流量,另外再控制发生器430的功率输入和/或频率输入以获得所需的包括NxOy类的输出气体组成。
图2也显示了系统操作和控制机构260,其提供电子电路和机械部件以选择性操作阀门,岐管,泵,和其它包括在系统200中的设备。这种电路和部件操作从前体源220,清除气体源230和氧化剂/NxOy类源将相应的前体,清除气体,氧化剂/NxOy类引入反应室210。该系统操作和控制机构260也控制气体脉冲顺序的计时,衬底和反应室的温度,和反应室的压力以及多种必需的提供系统200适当操作的其它操作。该操作和控制机构260能包括控制软件和用电或气动控制的阀门以控制进出反应室210的前体,反应物,氧化剂,NxOy类,和清除气体的流量。在一个尤其适于ALD反应器的实施方式中,该操作和控制机制260也控制进入反应室210的处理气体的流量以使表面对ALD反应失活,例如通过在反应空间的内表面上形成保护层。使该表面失活后,控制系统将衬底例如硅晶片装到室210并中且使前体,氧化剂,NxOy类,和/或清除气体流入室210中以在衬底上形成沉积。该控制系统能包括模块例如软件或硬件部件,例如,FPGA或ASIC,其执行特定任务。模块可有利地配置成位于控制系统的可寻址存储介质上且配置成进行一个或多个工艺。
那些相关领域的技术人员应理解本系统的其他配置是可能的,包括不同数目和种类的前体反应物源,清除气体源,和/或氧化剂/NxOy源。此外,这些人员也应理解有可用于达到将原料气选择性送入反应器反应室210目标的阀门,导管,前体源,清除气体源,载气源,和/或氧化剂/NxOy源的许多布置。此外,作为薄膜处理系统的示意图,为了简化示意图省去了许多部件,且这些部件可包括,例如,各种阀门,岐管,净化器,加热器,容器,出口,和/或旁路。
图3A显示处理系统200的另一个示意图,其中氧化剂/反应物源340与反应室210连接340A,与也与反应室连接360A的NxOy类源360分开。通过这种配置,系统操作和控制260可从氧化剂反应物源340将氧化剂或其它反应物引入反应室210,而与引入含NxOy类气体无关。通过这种配置,对反应室施加独立的氧化剂,含NxOy类气体,或两者的组合的气体脉冲以获得具体层沉积结果是可能的。在一个示例性实施中,可施加氧化剂和含NxOy类气体的交替脉冲以获得反应室210内在衬底上沉积的金属氧化物薄膜的增强的生长速度或均匀性。
图3B显示处理系统200的又一示意图,其中氧化剂/反应物源340与反应室210连接340A,与结合在反应室210内的NxOy类源390分离。未示出将多种源原料气如含氧或氮气体送入NxOy类源390的导管和连接,或者该NxOy类源将含NxOy类气体传送到位于反应室210内的衬底上的输出连接。类似绘于图3A中的系统200的所示,系统操作和控制260可从氧化剂/反应物源340将氧化剂或其它反应物引入反应室210,而与引入含NxOy类气体无关。同样,通过这种配置,对反应室施加独立的氧化剂,含NxOy类气体,或两者的组合的气体脉冲以获得具体层沉积结果是可能的。在一个示例性实施中,可施加氧化剂和含NxOy类气体的交替脉冲以获得反应室210内在衬底上沉积的金属氧化物薄膜的增强的生长速度或均匀性。
图6显示使用本发明方法的实施方式制造的单一金属氧化物(MOS)晶体管600,所述方法形成包含ALD沉积的栅绝缘层的电介质层620。利用通过本发明的方法和系统沉积的高k电介质例如HfO2,ZrO2,La2O3和Ta2O5,HfLaO,以及HfZrO保证了相比于传统氧化硅型电介质具有改善的泄漏电流或其它特性的更加小的晶体管的制造。准备衬底605用于沉积,一般该衬底为硅或含硅材料。然而,如前面有关衬底类型所述,其它半导体材料例如锗,砷化镓,和蓝宝石上硅衬底也可以使用。沉积栅电介质620之前,晶体管的衬底605内形成各层并且制备衬底的各种区域,例如晶体管600的漏极扩散610和源极扩散615。衬底605通常清洗以提供原来氧化物耗尽的初始衬底。衬底也可清洗以提供氢封端的表面来改善化学吸附的速度。待处理的晶体管区域的形成顺序可按照MOS晶体管制造中通常进行的典型的顺序,这对本领域技术人员是已知的。
在不同实施方式中,覆盖衬底605上源极和漏极扩散区域615和610之间区域的电介质620通过按照本发明图1所述的ALD工艺沉积,且包含通过至少部分接触含NxOy类气体而沉积的以分子比例的金属氧化物层。所示单个电介质层620仅是一个实施方式,并且在其它实施方式中也可包括另外的依据本发明的实施方式沉积的薄膜金属氧化物或其它合适的电介质或阻挡层材料的层。
晶体管600具有在栅电介质620上形成单栅极625的导电材料。通常,形成栅625可包括形成多晶硅层,尽管可用另一种工艺形成金属栅。制造衬底605,源极和漏极区域615 610,以及栅625是通过使用本领域技术人员已知的标准工艺或通过本发明的实施方式增强的那些工艺来实施的。另外,形成晶体管工艺的多种元素的顺序用标准制造工艺进行,这也是本领域技术人员已知的。
图示的实施方式中,显示电介质层620为第一层且直接接触衬底605;然而,本发明不受此限制。在不同实施方式中,扩散阻挡层可插在电介质层620和衬底605之间以防止金属杂质影响器件的电性能。图6所示的晶体管600具有形成单栅极625的导电材料,但是在浮栅器件例如图7所示的闪存中也可使用栅电介质。
图7显示按照本发明的一个实施方式制造的单个存储单元700。在该实施方式中,存储单元700是适合用于FLASH或其它存储器件的浮栅存储单元。类似于图6所示的晶体管,存储单元700包括其中形成源极区域715和漏极区域710的衬底705(通常是硅,但也可是本文所述的其它衬底)。通常,存储单元700也包括第一电介质层720(其可称为隧道层),存储元件或浮栅725(由导电材料例如多晶硅形成),第二电介质层725,和控制栅极735(也由导电材料例如多晶硅形成)。
类似有关图6所述的晶体管600,存储单元700利用本发明方法的实施方式制造以形成电介质层720,730中的一个或两个。电介质层720,730可全部或部分地通过使用按照本发明的方法形成ALD沉积的金属氧化物栅绝缘层来制造。准备衬底705用于沉积,该衬底一般为硅或含硅材料。然而,如前面有关衬底类型所述,其它半导体材料例如锗,砷化镓,和蓝宝石上硅衬底也可以使用。沉积电介质720之前,晶体管的衬底705内形成各层并且制备衬底的各种区域,例如存储单元700的漏极扩散710和源极扩散715。衬底705通常清洗以提供原来氧化物耗尽的初始衬底。衬底也可清洗以提供氢封端的表面来改善化学吸附的速度。待处理的晶体管区域的形成顺序可按照MOS晶体管制造中通常进行的典型的顺序,这对本领域技术人员是熟知的。
在不同实施方式中,覆盖衬底705上源极和漏极扩散区域715和710之间区域的电介质720通过按照本发明图1所述的ALD工艺沉积,且包含通过至少部分接触含NxOy类气体沉积的金属氧化物层。在其它实施方式中,所示电介质层720,730也可包括另外的金属氧化物或其它合适的电介质或阻挡层材料的层。
存储单元700具有在电介质720上的区域形成控制栅极735和浮栅725的导电材料。通常,形成栅725,735可包括形成多晶硅层,尽管可用另一个工艺形成金属栅。制造衬底705,源极和漏极区域715 710,以及栅725,735的工艺使用本领域技术人员已知的标准工艺来进行。另外,形成存储单元工艺的多种元素的顺序用标准制造工艺进行,这也是本领域技术人员已知的。
在图示实施方式中,显示电介质层720,730为直接接触衬底705,浮栅725,和控制栅735。在其它实施方式中,扩散阻挡层可插在电介质层720,730和/或衬底705,浮栅725,和控制栅735之间以防止金属杂质影响存储单元700的电性能。
依据本发明的形成金属氧化物电介质层的方法的实施方式也可适用于制造在各种集成电路,存储器件,和电子系统中的电容器的方法。在用于制造电容器的实施方式中,一种方法包括形成第一导电层,在该第一导电层上通过本文所述的ALD循环的实施方式形成包含金属氧化物层的电介质层,并在该电介质层上形成第二导电层。ALD形成金属氧化物电介质层使要设计在预定组成内的电介质层能提供所需的介电常数和/或其它可控特性。
具有通过本文所述的本发明实施方式制造的电介质层的电子元件例如晶体管,电容器,和其它器件可安装到存储器件,处理器,和电子系统中。通常,如图8所示,这种电子元件810可结合到系统820例如信息处理器件中。这种信息处理器件可包括无线系统,电信系统,移动用户单元例如手机,智能电话,个人数字助理(PDA),以及电脑。图9显示和下面描述具有电介质层例如HfLaO电介质层电脑的实施方式,该电介质层使用本文所述的方法通过原子层沉积形成。虽然下面显示特定类型的存储器件和计算器件,但是本领域技术人员应当认识到多种类型的存储器件和电子系统包括信息处理器件利用了本发明内容。
如图9所示,个人电脑900,可包括输出设备例如屏幕或监控器910,键盘输入设备905和中心处理单元920。中心处理单元920通常可包括使用处理器935的电路925,以及将一个或多个存储器件940与处理器935联接的存储总线电路937。该个人电脑900的处理器935和/或存储器940也包括具有至少一个电介质层的晶体管或存储单元,该电介质层使用本文所述的依据本发明内容的实施方式的方法通过原子层沉积形成。本领域技术人员应认识到电脑900中的其它电子元件可利用本文所述的方法通过原子层沉积形成的电介质层,例如通过至少部分接触含NxOy类气体形成的电介质层。这类元件可包括多种类型的集成电路,该集成电路包含处理器芯片组,视频控制器,存储控制器,I/O处理程序,BIOS存储器,FLASH存储器,音频和视频处理芯片等。本领域技术人员也应理解其它信息处理器件例如个人数字助理(PDA)和移动电信器件例如手机和智能电话可结合使用本发明的实施方式形成的电介质层。
虽然已经说明了本发明的优选实施方式,但是应理解本发明并不受这些实施方式的限制,在不偏离本发明的情况下可以进行修改。本发明的范围由所附权利要求书限定,并且在权利要求的字面或者等价内容上的含义内的所有器件、工艺以及方法也包含在本发明中。

Claims (23)

1.一种在位于反应室内的衬底上沉积薄膜的方法,该方法包括对衬底施加原子层沉积循环,该循环包括:
使衬底与前体气体接触前体脉冲时间,随后去除该前体气体;以及
使该衬底与包含氧化剂气体和含氮类气体的氧化剂接触氧化脉冲时间,随后去除该氧化剂。
2.如权利要求1所述的方法,其特征在于,该前体气体包含选自下组的稀土金属:Sc,La,Ce,Pr,Nd,Sm,Eu,Gd,Th,Dy,Ho,Er,Tm,Yb,Lu,及其组合。
3.如权利要求1所述的方法,其特征在于,该前体气体包含有机金属化合物和金属卤化物化合物中的至少一种。
4.如权利要求1所述的方法,其特征在于,该前体气体包含下组中的至少一种:
四氯化铪(HfCl4);
四氯化钛(TiCl4);
五氯化钽(TaCl5);
五氟化钽(TaF5);
四氯化锆(ZrCl4);
稀土的β-二酮化物化合物,包括(La(THD)3)和(Y(THD)3);
稀土的环戊二烯基(Cp)化合物,包括La(iPrCp)3
稀土的脒化物化合物,包括三甲脒镧La(FAMD)3
包含稀土金属的环辛二烯化合物;
烷基酰氨基化合物,包括:四(乙基甲基氨基)铪(TEMAHf);四(二乙基氨基)铪((Et2N)4Hf或者TDEAH);以及四(二甲基氨基)铪((Me2N)4Hf或TDMAH);
醇盐;
硅的卤化物化合物;
四氯化硅;
四氟化硅;和
四碘化硅。
5.如权利要求1所述的方法,其特征在于,该氧化剂气体是含氮类气体。
6.如权利要求1所述的方法,其特征在于,该含氮类气体包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*。
7.如权利要求1所述的方法,其特征在于,该氧化剂气体包括臭氧和一种或多种选自下组的气体:O,O2,NO,N2O,NO2,NO3,N2O5,NxOy自由基形式,NxOy离子形式,及其组合。
8.如权利要求7所述的方法,其特征在于,氧化剂气体包含约5原子%到25原子%的O3
9.如权利要求7所述的方法,其特征在于,O3由O2和氮源气体生成,其中使O2和氮源气体的混合物经受等离子放电。
10.如权利要求9所述的方法,其特征在于,该氮源气体是下组中的至少一种:N2,NO,N2O,NO2,NO3,和N2O5
11.如权利要求1所述的方法,其特征在于,含氮类气体包含受激NxOy自由基形式,受激NxOy离子形式,及其组合。
12.如权利要求1所述的方法,其特征在于,氧化剂气体包含下组中的两种或多种的混合物:O,O2,NO,N2O,NO2,NO3,N2O5,NOx,NxOy,其自由基和O3,并且该混合物包含约5原子%到25原子%的O3
13.如权利要求10所述的方法,其特征在于,N2/O2的流量比>0.001。
14.如权利要求10所述的方法,其特征在于,O2和氮源气体之比决定下组中的至少一个:
含氮类气体的量,该含氮类气体包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*;
含氮类气体的浓度,该含氮类气体包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*;
沉积薄膜的生长速度;
整个衬底上的薄膜均匀性;
沉积薄膜的介电常数;
沉积薄膜的折射率;以及
沉积薄膜的分子组成。
15.如权利要求10所述的方法,其特征在于,功率输入控制等离子体,并且对等离子体的输送功率的量决定下组中的至少一个:
含氮类气体的量,该含氮类气体包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*;
含氮类气体的浓度,该含氮类气体包含包括下组中的至少一种的活性离子或自由基形式:NO*,N2O*,NO2*,NO3*,和N2O5*;
沉积薄膜的生长速度;
整个衬底上的薄膜均匀性;
沉积薄膜的介电常数;
沉积薄膜的折射率;以及
沉积薄膜的分子组成。
16.如权利要求10所述的方法,其还包括:
通过使O2和氮源气体受到等离子体放电的作用来产生氧化剂;
监测等离子体放电产生的O3和受激NxOy类之比;
调整下组条件中的至少一个以达到预定的标准:等离子体放电的输入功率,外壳(housing)的温度,O2的流速,以及氮源气体的流速。
17.如权利要求16所述的方法,该预定的标准包含下组中的至少一个:
氧化剂流速;
氧化剂/NxOy浓度之比;
活性NxOy类的浓度;
活性NxOy类之比,其中受激NxOy类气体包含多种受激氮-氧化合物;以及
具体活性氮-氧化合物的浓度。
18.如权利要求1所述的方法,其还包含:
使衬底与第二前体气体接触第二前体脉冲时间,然后去除该第二前体气体;以及
去除该第二前体气体后,使衬底与包含氧化剂气体和含氮类气体的氧化剂接触氧化脉冲时间,然后去除该氧化剂。
19.一种包括使用金属卤化物前体和氧化剂沉积任何薄膜叠层中的至少一层的金属氧化物的方法,该氧化剂包含臭氧及受激氮-氧类。
20.如权利要求19所述的方法,其特征在于,该金属氧化物包含下组中的至少一种:Al2O3,HfO2,ZrO2,La2O3和Ta2O5
21.如权利要求19所述的方法,其特征在于,该金属卤化物包含任意金属以化合物与任意卤化物元素的组合。
22.一种将薄膜沉积在衬底上的方法,所述方法包括:通过调节与衬底接触的活性氮-氧类的量来控制所沉积薄膜的沉积均匀性。
23.一种系统,它包含:
反应室;
与该反应室连接的前体反应物源;
与该反应室连接的清除气体源;
与该反应室连接的氧化剂源;
与该反应室连接的受激含氮类源;以及
系统操作和控制机构,其中,将所述系统分开或组合地配置成进行权利要求1及其所有从属权利要求的方法的步骤。
CN201080036764.6A 2009-08-14 2010-08-12 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法 Active CN102625861B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US23401709P 2009-08-14 2009-08-14
US61/234,017 2009-08-14
US33260010P 2010-05-07 2010-05-07
US61/332,600 2010-05-07
US12/854,818 2010-08-11
US12/854,818 US8883270B2 (en) 2009-08-14 2010-08-11 Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
PCT/US2010/045368 WO2011019950A1 (en) 2009-08-14 2010-08-12 Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species

Publications (2)

Publication Number Publication Date
CN102625861A true CN102625861A (zh) 2012-08-01
CN102625861B CN102625861B (zh) 2014-12-10

Family

ID=43383392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080036764.6A Active CN102625861B (zh) 2009-08-14 2010-08-12 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法

Country Status (5)

Country Link
US (1) US8883270B2 (zh)
KR (2) KR102042281B1 (zh)
CN (1) CN102625861B (zh)
TW (1) TWI540221B (zh)
WO (1) WO2011019950A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177527A (zh) * 2014-03-26 2015-12-23 超科技公司 采用臭氧等离子体的氧自由基增强的原子层沉积
CN106129073A (zh) * 2015-05-07 2016-11-16 台湾积体电路制造股份有限公司 高介电常数介电层形成方法、图像传感器器件及其制造方法
CN106521452A (zh) * 2015-09-15 2017-03-22 超科技公司 2d金属硫属化物膜的激光辅助原子层沉积
CN108886093A (zh) * 2016-02-19 2018-11-23 Arm有限公司 控制碳的相关电子材料设备的制造方法
TWI661074B (zh) * 2017-03-02 2019-06-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI551708B (zh) 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
US8691985B2 (en) * 2011-07-22 2014-04-08 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
JP5694543B2 (ja) * 2011-09-08 2015-04-01 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) * 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
KR101727259B1 (ko) 2015-03-18 2017-04-17 연세대학교 산학협력단 산화물 박막 형성 방법 및 산화물 박막 형성 장치
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020172768A1 (en) * 2001-05-21 2002-11-21 Nec Corporation Method for vapor deposition of a metal compound film
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
CN101330015A (zh) * 2007-06-22 2008-12-24 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件

Family Cites Families (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US648989A (en) * 1898-11-14 1900-05-08 La Verne W Noyes Water-supply regulator for windmills.
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) * 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6429146B2 (en) 1999-09-02 2002-08-06 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
KR100886997B1 (ko) * 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 피처리체의 처리방법 및 처리장치
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
EP1460678A4 (en) 2001-07-31 2010-01-06 Air Liquide CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
DE60203413T2 (de) 2001-10-26 2006-04-20 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur abscheidung von atomaren schichten
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
EP1540705A4 (en) 2002-07-19 2009-12-16 Entegris Inc LIQUID FLOW CONTROL AND PRECISION DELIVERY DEVICE AND SYSTEM
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
EP1525337A2 (en) 2002-07-30 2005-04-27 ASM America, Inc. Sublimation system employing carrier gas
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
JP2005538564A (ja) 2002-09-10 2005-12-15 エフエスアイ インターナショナル インコーポレイテッド 加熱蓋体を備えた熱処理ステーション
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
WO2004070816A1 (ja) 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
WO2005029566A1 (ja) 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US20070054405A1 (en) * 2003-10-23 2007-03-08 Ortho-Clinical Diagnostics, Inc. Patient sample classification based upon low angle light scattering
WO2005042160A2 (en) 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
CN1997770A (zh) 2004-07-30 2007-07-11 Lpe公司 具有控制定位的衬托器的外延反应器
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101645394A (zh) 2004-10-19 2010-02-10 佳能安内华股份有限公司 基板支撑、运送用托盘
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TWI536429B (zh) 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
EP1953794B1 (en) 2004-11-24 2012-02-01 Oerlikon Solar AG, Trübbach Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4694878B2 (ja) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009129391A2 (en) 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100025796A1 (en) 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN105895517A (zh) 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
KR101691044B1 (ko) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020172768A1 (en) * 2001-05-21 2002-11-21 Nec Corporation Method for vapor deposition of a metal compound film
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
CN101330015A (zh) * 2007-06-22 2008-12-24 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
W. J. MAENG 等: "Electrical Properties of Atomic Layer Deposition HfO2 and HfOxNy on Si Substrates with Various Crystal Orientations", 《JOURNAL OF THE ELECTROCHEMICAL SOCIETY》, vol. 155, no. 4, 30 April 2008 (2008-04-30), pages 267 - 271, XP002615642, DOI: doi:10.1149/1.2840616 *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177527A (zh) * 2014-03-26 2015-12-23 超科技公司 采用臭氧等离子体的氧自由基增强的原子层沉积
CN106129073A (zh) * 2015-05-07 2016-11-16 台湾积体电路制造股份有限公司 高介电常数介电层形成方法、图像传感器器件及其制造方法
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
CN106129073B (zh) * 2015-05-07 2019-10-08 台湾积体电路制造股份有限公司 高介电常数介电层形成方法、图像传感器器件及其制造方法
US10636824B2 (en) 2015-05-07 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10720460B2 (en) 2015-05-07 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US11195867B2 (en) 2015-05-07 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
CN106521452A (zh) * 2015-09-15 2017-03-22 超科技公司 2d金属硫属化物膜的激光辅助原子层沉积
CN108886093A (zh) * 2016-02-19 2018-11-23 Arm有限公司 控制碳的相关电子材料设备的制造方法
TWI661074B (zh) * 2017-03-02 2019-06-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
KR20120073201A (ko) 2012-07-04
KR20170100070A (ko) 2017-09-01
WO2011019950A1 (en) 2011-02-17
TW201126009A (en) 2011-08-01
KR102042281B1 (ko) 2019-11-07
CN102625861B (zh) 2014-12-10
US8883270B2 (en) 2014-11-11
US20110070380A1 (en) 2011-03-24
TWI540221B (zh) 2016-07-01

Similar Documents

Publication Publication Date Title
CN102625861B (zh) 利用受激氮-氧类进行的金属氧化物薄膜沉积的系统和方法
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101505970B1 (ko) 금속 실리케이트 막들의 원자층 증착
US8479683B2 (en) Apparatus including a plasma chamber and controller including instructions for forming a boron nitride layer
US9269574B2 (en) Methods of fabricating dielectric films from metal amidinate precursors
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US20060207504A1 (en) Film formation method and apparatus for semiconductor process
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
WO2005034196A9 (en) Atomic layer deposition of hafnium-based high-k dielectric
CN101014730A (zh) 用于形成多组分介电膜的系统和方法
WO2007115029A2 (en) Method of forming mixed rare earth oxide and mixed rare earth aluminate films by atomic layer deposition
US11087959B2 (en) Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
CN101414557A (zh) 用于Si和金属纳米晶体成核的等离子体表面处理
Kitajima et al. Enhanced interfacial reaction of precursor and low temperature substrate in HfO2 atomic layer deposition with highly Ar diluted O2 plasma
US20240222110A1 (en) Partial breakdown of precursors for enhanced ald film growth
JPS62188782A (ja) 複数の電界を用いた化合物薄膜の製造方法と製造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210310

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.