KR101296911B1 - 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법 - Google Patents

평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법 Download PDF

Info

Publication number
KR101296911B1
KR101296911B1 KR1020050132294A KR20050132294A KR101296911B1 KR 101296911 B1 KR101296911 B1 KR 101296911B1 KR 1020050132294 A KR1020050132294 A KR 1020050132294A KR 20050132294 A KR20050132294 A KR 20050132294A KR 101296911 B1 KR101296911 B1 KR 101296911B1
Authority
KR
South Korea
Prior art keywords
susceptor
amount
static electricity
light
substrate
Prior art date
Application number
KR1020050132294A
Other languages
English (en)
Other versions
KR20070069807A (ko
Inventor
염영민
Original Assignee
엘지디스플레이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘지디스플레이 주식회사 filed Critical 엘지디스플레이 주식회사
Priority to KR1020050132294A priority Critical patent/KR101296911B1/ko
Priority to US11/433,560 priority patent/US9170441B2/en
Publication of KR20070069807A publication Critical patent/KR20070069807A/ko
Application granted granted Critical
Publication of KR101296911B1 publication Critical patent/KR101296911B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1306Details
    • G02F1/1309Repairing; Testing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Nonlinear Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 평판표시소자의 제조장치에 관한 것으로, 특히 진공증착 공정시 발생되는 정전기량을 정확하게 검출할 수 있는 정전기량 검출장치 및 검출방법에 관한 것이다.
본 발명에 따른 평판표시소자의 제조장치는 기판을 지지하기 위한 다수의 리프트 핀과; 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터와; 정전기에 의해 상기 서셉터와 상기 기판이 부착된 후, 상기 서셉터의 하강으로 인해 상기 서셉터와 상기 기판이 분리되는 시점을 감지하고, 그때의 상기 서셉터의 이동거리를 감지하여 상기 서셉터상의 정전기량을 검출하기 위한 정전기량 검출수단을 포함하는 것을 특징으로 한다.

Description

평판표시소자의 제조장치 및 그의 정전기량 검출장치 및 검출방법{Apparatus For Fabricating Flat Panel Display, Detector Static Electricity Quantity Thereof And Method For Detecting}
도 1은 종래 진공증착 공정에 이용되는 평판표시소자의 제조장치의 단면도.
도 2a는 진공증착 공정 후 발생 되는 정전기에 의해 기판이 휘어지는 것을 도시한 단면도.
도 2b는 종래 육안을 통해 정전기량을 검출하는 것을 도시한 단면도.
도 3은 본 발명의 제1 실시예에 따른 진공증착 공정에 이용되는 평판표시소자의 제조장치의 단면도.
도 4는 본 발명의 제1 실시예에 따른 정전기량 검출장치의 블럭 구성도.
도 5는 본 발명의 제1 실시예에 따른 정전기량 검출방법의 흐름도.
도 6은 본 발명의 제2 실시예에 따른 진공증착 공정에 이용되는 평판표시소자의 제조장치의 단면도.
본 발명은 평판표시소자의 제조장치에 관한 것으로, 특히 진공증착 공정시 발생되는 정전기량을 정확하게 검출할 수 있는 정전기량 검출장치 및 검출방법에 관한 것이다.
최근의 정보화 사회에서 표시소자는 시각정보 전달매체로서 그 중요성이 어느 때보다 강조되고 있다. 현재 주류를 이루고 있는 음극선관(Cathode Ray Tube) 또는 브라운관은 무게와 부피가 큰 문제점이 있다.
평판표시소자에는 액정표시소자(Liquid Crystal Display : LCD), 전계 방출 표시소자(Field Emission Display : FED), 유기 발광다이오드 표시소자( Orgarnic Light Emitting Diode Display : OLED) 등이 있고 이들 대부분이 실용화되어 시판되고 있다.
이 중 액정표시소자는 전자제품의 경박단소 추세를 만족할 수 있고, 양산성이 향상되고 있어 많은 응용분야에서 음극선관을 빠른 속도로 대체하고 있다.
통상, 액정표시소자(Liquid Crystal Display : " LCD" 라 함)에서는 액정패널 상에 매트릭스 형태로 배열된 액정셀들의 광투과율을 공급되는 비디오 데이타 신호를 조절함으로써 데이타 신호에 해당하는 화상을 패널 상에 표시하게 된다.
이러한 액정표시소자는 액정층에 전계를 인가하기 위한 전극들, 액정셀 별로 데이타 공급을 절환하기 위한 박막트랜지스터, 외부에서 공급되는 데이타를 액정셀들에 공급하는 신호배선 및 박막트랜지스터의 제어신호를 공급하기 위한 신호배선 등이 형성된 하판과, 칼라필터등이 형성된 상판과, 상판과 하판 사이에 형성되어 일정한 셀갭을 확보하는 스페이서와, 스페이서에 의해 상하판 사이에 마련된 공간에 채워진 액정으로 구성된다.
이러한 액정표시소자를 포함하는 평판표시소자의 제조방법에 있어서, 박막트랜지스터의 채널부로 포함되는 활성층과 박막트랜지스터를 보호하는 보호막은 통상 PECVD(Plasma Enhanced Chemical Vapor Deposition) 공정을 이용하여 형성되게 된다. 이러한 PECVD공정은 도 1에 도시된 바와 같은 평판표시소자의 제조장치에 의해 수행되게 된다.
도 1에 도시된 평판표시소자의 제조장치는 증착공정이 수행되는 프로세서 챔버(2)와, 프로세서 챔버(2) 내에서 기판(4)을 가열함과 아울러 플라즈마를 발생시키기 위한 하부전극으로 이용되는 서셉터(10)를 구비한다. 서셉터(10) 상에는 기판(4)을 지지하기 위한 리프트 핀(6: Lift Pin)이 설치된다. 기판(4)은 로보트 암(미도시)에 의해 서셉터(10) 상으로 이송되거나 증착공정 후 반송되어 진다. 서셉터(10)는 지지대(20)에 고정되고, 이에 의해 프로세서 챔버(2) 내에서 소정의 높이에 위치하게 된다. 이러한 서셉터(10)는 지지대(20)에 연결된 타임벨트(미도시: Time Belt)에 의해 수직방향으로 이동되게 된다.
타임벨트는 모터(미도시)에 의해 구동되어 지지대(20)를 원하는 높이로 이동시킴으로써 서셉터(10)가 프로세스별 해당 포지션으로 이동되게 한다.
이러한 구성을 가지는 평판표시소자의 제조장치는 기판이 로드된 서셉터(10)를 증착공정을 진행할 수 있는 포지션으로 상승시키고, 기판(4)에 열 및 전압을 인가하여 가스 및 플라즈마에 의해 필요로 하는 막이 유리기판(4) 위에 증착되게 한 다.
그런데, 상기와 같은 증착 공정이 끝나게 되면 RF(Radio Frequency) 방전에 의해 기판과 서셉터(10)사이에 높은 전하가 대전 되며, 이렇게 대전 된 전하(정전기)는 기판과 서셉터를 강하게 부착시키는 원인이 된다.
따라서, 도 2a에 도시된 바와 같이, 증착 공정이 종료된 후 서셉터(50) 하강시 리프트 핀(46)이 위치하지 않는 기판의 중앙부는 대전 된 전하에 의해, 기판이 서셉터와 제대로 분리되지 않고 휘어지는 문제가 발생한다.
이를 방지 하기 위해 일반적으로 증착 공정 완료 후 별도의 제전공정을 거치게 된다. 구체적으로 제전공정은 챔버의 가스 주입구를 통해 He, Ne 과 같은 불활성 가스 또는 N2 가스를 주입하고, 400 W 정도의 RF 파워를 인가하여 주입된 비반응성 가스를 플라즈마 상태로 만든다. 정전기량이 많을수록 RF 파워의 인가시간을 증가시켜야 하며, 이에 의해 더 많이 생성된 비반응성 플라즈마는 기판의 중앙부에 대전 된 전하들을 중화시킨다.
그러나, 기판과 접촉되는 서셉터의 상측에 발생 되는 정전기를 제전 처리하여 완전히 제거하기 위해서는 챔버 내의 환경변화 등 여러 요인들로 인해 수시로 변하는 정전기량에 상응하여 RF 파워 인가 시간을 조정하여야 한다.
이를 위해서는 변화된 정전기량에 대한 정확한 검출이 선행되어야 하는바, 도 2에 도시된 바와 같이 종래에는 챔버(42)의 외부창(70)을 통해 사용자의 육안(80)을 통해 변화된 정전기량을 검출하였다. 즉, 증착 공정이 완료된 후, 서셉터 (50) 하강시 서셉터(50)상에 발생 된 정전기로 인해 기판이 휘어졌다가 서셉터(50)로부터 완전히 분리되는 시점을 육안(80)으로 확인하고, 이때의 서셉터(50) 하강위치에 비례하여 대략적인 정전기량을 검출하였다.
그러나, 이와 같은 종래 정전기량 검출장치 및 방법은 사용자의 육안 확인에만 의존하여 정전기량을 검출함으로 인해, 사용자에게 불편함을 부여하였고 더불어, 발생 된 정확한 정전기량을 판단할 수 없었기에 제전처리 후 잔존하는 정전기에 의해 기판이 파손되는 문제점이 있었다.
따라서, 본 발명의 목적은 수시로 변화되는 정전기량을 정확히 검출할 수 있는 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및 검출방법을 제공하는 데 있다.
더불어, 본 발명의 또 다른 목적은 제전처리 후 잔존하는 정전기에 의해 기판이 파손되는 것을 방지할 수 있는 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및 검출방법을 제공하는 데 있다.
상기 목적을 달성하기 위하여, 본 발명의 제1 실시예에 따른 평판표시소자의 제조장치는 기판을 지지하기 위한 다수의 리프트 핀과; 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터와; 정전기에 의해 상기 서셉터와 상기 기판이 부착 된 후, 상기 서셉터의 하강으로 인해 상기 서셉터와 상기 기판이 분리되는 시점을 감지하고, 그때의 상기 서셉터의 이동거리를 감지하여 상기 서셉터상의 정전기량을 검출하기 위한 정전기량 검출수단을 포함하는 것을 특징으로 한다.
상기 정전기량 검출수단은, 상기 서셉터의 하강시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부와; 상기 투과된 광을 수광하기 위한 수광부와; 상기 서셉터의 이동거리를 센싱하기 위한 이동거리감지부와; 상기 수광부를 통한 검출 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제어부를 포함하는 것을 특징으로 한다.
상기 제어부는, 상기 검출 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하고, 상기 저장된 이동거리 감지값에 따른 정전기량을 검출하는 것을 특징으로 한다.
상기 제어부는, 소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 것을 특징으로 한다.
상기 제어부를 통해 검출된 정전기량을 표시하기 위한 표시부를 더 구비하는 것을 특징으로 한다.
또한, 상기 목적을 달성하기 위하여, 본 발명의 제1 실시예에 따른 정전기량 검출장치는 상기 서셉터의 이동시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부와; 상기 투과된 광을 수광하기 위한 수광부와; 상기 서셉터의 이동거리를 센싱하기 위한 이동거리감지부와; 상기 수광부를 통한 검출 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제어부를 포함하는 것을 특징으로 한다.
또한, 상기 목적을 달성하기 위하여, 본 발명의 제1 실시예에 따른 정전기량 검출방법은 기판을 지지하기 위한 다수의 리프트 핀, 및 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터를 가지는 증착장비에서 상기 서셉터상의 정전기량을 검출하는 방법에 있어서, 상기 서셉터의 이동시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시킴과 동시에, 상기 서셉터의 이동시에 상기 서셉터의 이동거리를 센싱하는 제1 단계와; 상기 투과된 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제2 단계를 포함하는 것을 특징으로 한다.
상기 제2 단계는, 상기 투과된 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하는 제2-1 단계와; 소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 제2-2 단계를 포함하는 것을 특징으로 한다.
또한, 상기 목적을 달성하기 위하여, 본 발명의 제2 실시예에 따른 평판표시소자의 제조장치는 기판을 지지하기 위한 다수의 리프트 핀과; 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터와; 정전기에 의해 상기 서셉터와 상기 기판이 부착된 후, 상기 리프트 핀의 상승으로 인해 상기 서셉터와 상기 기판이 분리되는 시점을 감지하고, 그때의 상기 리프트 핀의 이동거리를 감지하여 상기 서셉터상의 정전기량을 검출하기 위한 정전기량 검출수단을 포함하는 것을 특징으로 한 다.
상기 목적 외에 본 발명의 다른 목적 및 특징들은 첨부도면을 참조한 실시 예에 대한 설명을 통하여 명백하게 드러나게 될 것이다.
이하, 도 3 내지 도 6을 참조하여 본 발명의 바람직한 실시 예에 대하여 설명하기로 한다.
도 3은 본 발명의 제1 실시예에 따른 평판표시소자의 제조장치에 대한 단면도이다.
도 3을 참조하면, 본 발명의 제1 실시예에 따른 평판표시소자의 제조장치는 증착공정이 수행되는 프로세서 챔버(102)와, 프로세서 챔버(102) 내에서 기판(104)을 지지하기 위한 다수의 리프트 핀(106:Lift Pin)과, 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터(110)와, 정전기에 의해 상기 서셉터(110)와 기판(104)이 부착된 후, 서셉터(110)의 하강으로 인해 서셉터(110)와 기판(104)이 분리되는 시점을 감지하고, 그때의 서셉터(110)의 이동거리를 감지하여 서셉터(110)상의 정전기량을 검출하기 위한 정전기량 검출수단을 구비한다.
정전기량 검출수단은 서셉터(110) 하강시 서셉터(110)와 기판(104)이 분리되는 시점을 감지하기 위한 기판(104)과 서셉터(110) 사이에 형성되는 공간을 횡단하여 진행하는 광을 발생시키는 발광센서(116) 및 이를 수광하는 수광센서(118)와, 서셉터(110) 하강시 서셉터(110)의 이동거리를 감지하기 위한 이동거리 감지센서(122)를 구비한다.
이러한 구성수단을 가지는 본 발명의 제1 실시예에 따른 평판표시소자의 제 조장치를 이용하여 서셉터상의 정전기량을 검출하는 과정을 살펴보면 다음과 같다.
증착공정이 완료된 기판(104)이 로봇암(미도시)에 의해 후속 공정으로 반송되도록 하기 위해 서셉터(110)는 소정 거리만큼 아래로 하강한다.
이때, 서셉터(110)는 지지대(120)에 고정되어 있기 때문에 지지대(120)에 연결된 타임벨트(114: Time Belt)와, 타임벨트(114)를 구동하기 위한 모터(112)에 의해 수직방향으로 이동되게 된다.
한편, 증착공정을 위한 RF 방전에 의해 기판(104)과 서셉터(110)사이에 높은 전하가 대전 되어 있으며, 이로 인해 서셉터 하강시 리프트 핀이 위치하지 않는 기판의 중앙부는 정전기에 의해 기판과 서셉터가 제대로 분리되지 않고 그 결과 기판은 휘어진다. 이러한 이유로 대전된 전하를 중화시키기 위한 제전처리가 행해지는데, 완전한 제전을 위해서는 선행적으로 정확한 정전기량이 검출되어야 한다.
따라서, 정확한 정전기량의 검출을 위해, 모터(112)에 의해 구동되는 타임벨트(114)의 작동으로 지지대(120)에 고정된 서셉터(110)가 지지대(120)와 함께 하강하는 순간, 서셉터(110)의 일측 근방에 설치되어 있는 발광센서(116)는 광을 발생시켜 기판(104)과 서셉터(110) 사이에 형성되는 공간으로 광을 횡단시킨다. 횡단 된 광은 서셉터(110)의 타측 근방에 설치되어 있는 수광센서(118)에 의해 수광된다. 여기서, 수광센서(118)에 의해 수광된 광량값이 기판(104)과 서셉터(110)가 완전히 분리되는 시점을 판단하기 위해 미리 정해진 기준광량값 이상이 될 때까지, 발광센서(116)는 도시하지 않은 제어부의 제어에 의해 발광한다.
또한, 정확한 정전기량의 검출을 위해, 모터(112)에 의해 구동되는 타임벨트 (114)의 작동으로 지지대(120)에 고정된 서셉터(110)가 지지대(120)와 함께 하강하는 순간, 서셉터(110)의 하강거리를 감지하기 위한 이동거리 감지센서(122)는 센싱동작을 시작한다. 여기서 이동거리 감지센서(122)는 하나의 모듈내에 집적된 발·수광부로 구성되어, 발광부로부터 발생된 광이 지지대(120)의 일측에 설치된 피감지부(124)로부터 반사되면 수광부를 통해 그 반사광을 수광한다. 그리고, 이동거리 감지센서(122)는 수광된 광을 전기적 신호로 변환하고, 전기적 신호의 전류량에 근거하여 서셉터의 이동거리(하강거리)를 센싱한다.
이러한 이동거리 감지센서(122)는 도시하지 않은 제어부의 제어에 의해 서셉터(110)의 하강순간부터 시작하여 일정시점(기판과 서셉터가 완전히 분리되는 시점)까지 계속하여 서셉터의 이동거리를 센싱한다.
도시하지 않은 제어부는 수광센서에 의해 수광된 빛의 광량이 기준광량값 이상이 될 때의 서셉터(110)의 이동거리를 이용하여 서셉터(110)상의 정전기량을 검출한다. 이에 대해서는 도 4를 통해 상세히 설명하기로 한다.
도 4는 본 발명의 제1 실시예에 따른 정전기량 검출장치에 대한 블럭 구성도이다.
도 4를 참조하면, 본 발명의 제1 실시예에 따른 정전기량 검출장치는 서셉터의 하강시에 서셉터와 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부(216)와, 투과된 광을 수광하기 위한 수광부(218)와, 서셉터의 이동거리를 센싱하기 위한 이동거리감지부(240)와, 수광부(218)를 통한 검출 광량과 이동거리 감지값을 이용하여 서셉터상의 정전기량을 검출하는 제어부(250)와, 검출된 정전기량을 표시하기 위한 표시부(260)를 구비한다.
증착공정을 위한 RF 방전에 의해 기판과 서셉터 사이에 발생되는 정전기량을 검출하기 위해, 제어부(250)는 서셉터 하강과 동시에 발광부(216)에 제어신호를 인가하여 발광부(216)로 하여금 기판과 서셉터 사이에 형성되는 공간으로 광을 투과시키게 한다.
또한, 제어부(250)는 서셉터 하강과 동시에 이동거리감지부(240)에 제어신호를 인가하여 서셉터의 이동거리(하강거리)를 실시간으로 감지하게 한다.
발광부(216)로부터 발광된 광은 기판과 서셉터 사이에 형성되는 공간을 투과하여 수광부(218)로 수광된다. 수광부(218)에서 수광된 광은 광전변환부(220)를 거쳐 전기적 신호로 변환되어 A/D변환부(222)로 입력된다. A/D변환부(222)를 통해 입력된 아날로그 신호는 디지털 신호로 변환되어 제어부(250)로 입력된다.
서셉터 하강시 리프트 핀이 위치하지 않는 기판의 중앙부는 정전기로 인해 어느 시점(기판과 서셉터가 완전히 분리되는 시점)까지는 기판과 서셉터가 제대로 분리되지 않고 붙어있는 상태를 유지하므로 그 결과 투과되는 광은 기판에 의해 반사되거나 간섭되어 발광량 대비 수광량은 현저히 저하된다.
따라서, 제어부(250)는 기판과 서셉터가 완전히 분리되는 시점을 판단하기 위해 미리 설정된 소정의 기준 광량값과 상기 A/D변환부(222)에 의해 입력되는 디지털 신호값을 비교한다. 비교결과, 디지털 신호값이 기준광량값 이상이 되면 제어부(250)는 기판과 서셉터가 완전히 분리되었다고 판단한다.
이때, 제어부(250)는 이동거리감지부(240)로터 실시간으로 입력받는 서셉터 의 이동거리 감지값들 중에서 상기 디지털 신호값이 기준광량값 이상이 될 때의 이동거리 감지값을 저장한다. 저장된 이동거리 감지값은 서셉터의 이동거리에 상응되게 메모리부(미도시)의 룩업 테이블에 미리 설정된 정전기량값에 링크되며, 이렇게 링크된 정전기량값이 서셉터상의 정전기량으로 검출된다.
상기 검출된 정전기량은 표시부(260)를 통해 사용자에게 현시될 수 있으며, 사용자는 이를 기초로 하여 RF 파워(통상 400W) 인가시간을 조정함으로써, 서셉터상의 정전기를 제거할 수 있다.
도 5는 본 발명의 제1 실시예에 따른 정전기량 검출방법의 흐름도이다.
도 5를 참조하면, 먼저 서셉터는 증착공정이 완료된 기판이 후속공정으로 이송되도록 로봇암의 진입공간을 마련하기 위해 소정거리만큼 하강한다. (S310)
그런데, 증착공정을 위한 RF 방전에 의해 기판과 서셉터 사이에는 소정의 정전기가 발생되고, 이로 인해 서셉터 하강시 리프트 핀이 위치하지 않는 기판의 중앙부는 정전기로 인해 어느 시점(기판과 서셉터가 완전히 분리되는 시점)까지는 기판과 서셉터가 제대로 분리되지 않고 붙어있는 상태를 유지한다. (S320)
따라서, 발생되는 정전기량을 검출하기 위해, 제어부의 제어신호에 의해 서셉터 하강과 동시에 발광부는 기판과 서셉터 사이에 형성되는 공간으로 광을 투과시킨다.
또한, 제어부의 제어신호에 의해 서셉터 하강과 동시에 이동거리감지부는 서셉터의 이동거리(하강거리)를 실시간으로 감지한다. (S330)
발광부로부터 발광 된 광은 기판과 서셉터 사이에 형성되는 공간을 투과하여 수광부로 수광된다. 수광부에서 수광된 광은 광전변환부를 거쳐 전기적 신호로 변환되고 다시 A/D변환부를 거침으로써 디지털 신호로 변환되어 제어부로 입력된다. 이때 이동거리감지부에 의해 감지된 서셉터의 이동거리도 실시간으로 제어부로 입력된다. (S340)
제어부는 기판과 서셉터가 완전히 분리되는 시점을 판단하기 위해 미리 설정된 소정의 기준 광량값과 A/D변환부를 거친 디지털 신호값을 비교한다. 비교결과, 디지털 신호값이 기준광량값에 미달 되면 제어부는 기판과 서셉터가 완전히 분리되지 않았다고 판단하여 디지털 신호값이 기준광량값에 도달될 때까지 계속해서 상기 디지털 신호값과 감지된 이동거리값을 입력받는다. (S350)
비교결과, 디지털 신호값이 기준광량값 이상이 되면 제어부는 기판과 서셉터가 완전히 분리되었다고 판단하고, 이때의 서셉터의 이동거리 감지값을 저장한다. (S360)
저장된 이동거리 감지값은 서셉터의 이동거리에 상응되게 메모리부(미도시)의 룩업 테이블에 미리 설정된 정전기량값에 링크되며, 이렇게 링크된 정전기량값이 서셉터상의 정전기량으로 검출된다. (S370)
검출된 정전기량은 표시부를 통해 사용자에게 현시된다. (S380)
도 5는 본 발명의 제2 실시예에 따른 평판표시소자의 제조장치에 대한 단면도이다.
도 5를 참조하면, 본 발명의 제2 실시예에 따른 평판표시소자의 제조장치는 증착공정이 수행되는 프로세서 챔버(302)와, 프로세서 챔버(302) 내에서 기판(304) 을 지지하기 위한 다수의 리프트 핀(306:Lift Pin)과, 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터(310)와, 정전기에 의해 상기 서셉터(310)와 기판(304)이 부착된 후, 리프트 핀(306)의 상승으로 인해 서셉터(310)와 기판(304)이 분리되는 시점을 감지하고, 그때의 리프트 핀(306)의 이동거리를 감지하여 서셉터(310)상의 정전기량을 검출하기 위한 정전기량 검출수단을 구비한다.
정전기량 검출수단은 리프트 핀(306) 상승시 서셉터(310)와 기판(304)이 분리되는 시점을 감지하기 위해 기판(304)과 서셉터(310) 사이에 형성되는 공간을 횡단하여 진행하는 광을 발생시키는 발광센서(316) 및 이를 수광하는 수광센서(318)와, 리프트 핀(306) 상승시 서셉터(310)의 이동거리를 감지하기 위한 이동거리 감지센서(322)를 구비한다.
이러한 구성수단을 가지는 본 발명의 제2 실시예에 따른 평판표시소자의 제조장치를 이용하여 서셉터상의 정전기량을 검출하는 과정을 살펴보면 다음과 같다.
증착공정이 완료된 기판(304)이 로봇암(미도시)에 의해 후속 공정으로 반송되도록 하기 위해 리프트 핀(306)은 모터(312)에 의해 소정 거리만큼 위로 상승한다.
이때, 서셉터(310)는 지지대(320)에 고정되어 있으므로 로봇암이 진입할 수 있는 공간이 마련되게 된다.
한편, 증착공정을 위한 RF 방전에 의해 기판(304)과 서셉터(310)사이에 높은 전하가 대전 되어 있으며, 이로 인해 리프트 핀(306) 상승시 리프트 핀(306)이 위치하지 않는 기판의 중앙부는 정전기에 의해 기판(304)과 서셉터(310)가 제대로 분 리되지 않고 그 결과 기판(304)은 휘어진다. 이러한 이유로 대전된 전하를 중화시키기 위한 제전처리가 행해지는데, 완전한 제전을 위해서는 선행적으로 정확한 정전기량이 검출되어야 한다.
따라서, 정확한 정전기량의 검출을 위해, 모터(312)에 의해 리프트 핀(306)이 상승하는 순간, 서셉터(310)의 일측 근방에 설치되어 있는 발광센서(316)는 광을 발생시켜 기판(304)과 서셉터(310) 사이에 형성되는 공간으로 광을 횡단시킨다. 횡단 된 광은 서셉터(310)의 타측 근방에 설치되어 있는 수광센서(318)에 의해 수광된다. 여기서, 수광센서(318)에 의해 수광된 광량값이 기판(304)과 서셉터(310)가 완전히 분리되는 시점을 판단하기 위해 미리 정해진 기준광량값 이상이 될 때까지, 발광센서(316)는 도시하지 않은 제어부의 제어에 의해 발광한다.
또한, 정확한 정전기량의 검출을 위해, 모터(312)에 의해 리프트 핀(306)이 상승하는 순간, 리프트 핀(306)의 상승거리를 감지하기 위한 이동거리 감지센서(322)는 센싱동작을 시작한다. 여기서 이동거리 감지센서(322)는 하나의 모듈내에 집적된 발·수광부로 구성되어, 발광부로부터 발생된 광이 리프트 핀(306)의 일측에 설치된 피감지부(324)로부터 반사되면 수광부를 통해 그 반사광을 수광한다. 그리고, 이동거리 감지센서(322)는 수광된 광을 전기적 신호로 변환하고, 전기적 신호의 전류량에 근거하여 리프트 핀(306)의 이동거리(상승거리)를 센싱한다.
이러한 이동거리 감지센서(322)는 도시하지 않은 제어부의 제어에 의해 리프트 핀(306)의 상승순간부터 시작하여 일정시점(기판과 서셉터가 완전히 분리되는 시점)까지 계속하여 리프트 핀(306)의 이동거리를 센싱한다.
도시하지 않은 제어부는 수광센서(318)에 의해 수광된 빛의 광량이 기준광량값 이상이 될 때의 리프트 핀(306)의 이동거리를 이용하여 서셉터(310)상의 정전기량을 검출한다.
이러한 정전기량에 대한 구체적인 검출방법에 대해서는 제1 실시예에서 설명한 바와 같으므로 이하에서는 생략하기로 한다.
한편, 본 발명의 제2 실시예에 따른 평판표시소자의 제조장치도 검출된 정전기량을 표시하기 위해 표시부를 더 구비한다.
상술한 바와 같이, 본 발명에 따른 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및 검출방법은 별도의 광센서를 이용하여 기판과 서셉터의 분리되는 시점을 정확히 판단하고 그때의 서셉터 하강거리(또는 리프트 핀의 상승거리)에 상응되는 정전기량값을 서셉터상의 정전기량으로 검출함으로써, 수시로 변하는 서셉터상의 정전기량을 정확히 검출할 수 있다.
이에 따라, 제전처리시 RF파워 인가시간을 검출된 정전기량에 맞추어 정확히 조정할 수 있으므로, 간편하면서도 효과적으로 정전기를 제거할 수 있다.
또한, 본 발명에 따른 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및 검출방법은 수시로 변하는 서셉터상의 정전기량을 정확히 검출하여 그에 맞는 제전처리를 함으로써, 잔존하는 정전기에 의해 기판이 파손되는 것을 방지할 수 있다.
이상 설명한 내용을 통해 당업자라면 본 발명의 기술사상을 일탈하지 아니하는 범위에서 다양한 변경 및 수정이 가능함을 알 수 있을 것이다. 따라서, 본 발명의 기술적 범위는 명세서의 상세한 설명에 기재된 내용으로 한정되는 것이 아니라 특허 청구의 범위에 의해 정하여져야만 할 것이다.

Claims (16)

  1. 기판을 지지하기 위한 다수의 리프트 핀과;
    상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터와;
    정전기에 의해 상기 서셉터와 상기 기판이 부착된 후, 상기 서셉터의 하강으로 인해 상기 서셉터와 상기 기판이 분리되는 시점을 감지하고, 그때의 상기 서셉터의 이동거리를 감지하여 상기 서셉터상의 정전기량을 검출하기 위한 정전기량 검출수단을 포함하는 것을 특징으로 하는 평판표시소자의 제조장치.
  2. 제 1 항에 있어서,
    상기 정전기량 검출수단은,
    상기 서셉터의 하강시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부와;
    상기 투과된 광을 수광하기 위한 수광부와;
    상기 서셉터의 이동거리를 센싱하기 위한 이동거리감지부와;
    상기 수광부를 통한 검출 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제어부를 포함하는 것을 특징으로 하는 평판표시소자의 제조장치.
  3. 제 2 항에 있어서,
    상기 제어부는,
    상기 검출 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하고, 상기 저장된 이동거리 감지값에 따른 정전기량을 검출하는 것을 특징으로 하는 평판표시소자의 제조장치.
  4. 제 3 항에 있어서,
    상기 제어부는,
    소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 것을 특징으로 하는 평판표시소자의 제조장치.
  5. 제 4 항에 있어서,
    상기 제어부를 통해 검출된 정전기량을 표시하기 위한 표시부를 더 구비하는 것을 특징으로 하는 평판표시소자의 제조장치.
  6. 기판을 지지하기 위한 다수의 리프트 핀, 및 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터를 가지는 증착장비에서 상기 서셉터상의 정전기량을 검출하는 장치에 있어서,
    상기 서셉터의 이동시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부와;
    상기 투과된 광을 수광하기 위한 수광부와;
    상기 서셉터의 이동거리를 센싱하기 위한 이동거리감지부와;
    상기 수광부를 통한 검출 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제어부를 포함하는 것을 특징으로 하는 정전기량 검출장치.
  7. 제 6 항에 있어서,
    상기 제어부는,
    상기 검출 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하고, 상기 저장된 이동거리 감지값에 따른 정전기량을 검출하는 것을 특징으로 하는 정전기량 검출장치.
  8. 제 7 항에 있어서,
    상기 제어부는,
    소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 것을 특징으로 하는 정전기량 검출장치.
  9. 제 8 항에 있어서,
    상기 제어부를 통해 검출된 정전기량을 표시하기 위한 표시부를 더 구비하는 것을 특징으로 하는 정전기량 검출장치.
  10. 기판을 지지하기 위한 다수의 리프트 핀, 및 상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터를 가지는 증착장비에서 상기 서셉터상의 정전기량을 검출하는 방법에 있어서,
    상기 서셉터의 이동시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시킴과 동시에, 상기 서셉터의 이동시에 상기 서셉터의 이동거리를 센싱하는 제1 단계와;
    상기 투과된 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제2 단계를 포함하는 것을 특징으로 하는 정전기량 검출방법.
  11. 제 10 항에 있어서,
    상기 제2 단계는,
    상기 투과된 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하는 제2-1 단계와;
    소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 제2-2 단계를 포함하는 것을 특징으로 하는 정전기량 검출방법.
  12. 기판을 지지하기 위한 다수의 리프트 핀과;
    상기 리프트 핀이 관통되고 승강 가능하게 구동되는 서셉터와;
    정전기에 의해 상기 서셉터와 상기 기판이 부착된 후, 상기 리프트 핀의 상승으로 인해 상기 서셉터와 상기 기판이 분리되는 시점을 감지하고, 그때의 상기 리프트 핀의 이동거리를 감지하여 상기 서셉터상의 정전기량을 검출하기 위한 정전기량 검출수단을 포함하는 것을 특징으로 하는 평판표시소자의 제조장치.
  13. 제 12 항에 있어서,
    상기 정전기량 검출수단은,
    상기 리프트 핀의 상승시에 상기 서셉터와 상기 기판 사이에 형성되는 공간에 광을 투과시키기 위한 발광부와;
    상기 투과된 광을 수광하기 위한 수광부와;
    상기 리프트 핀의 이동거리를 센싱하기 위한 이동거리감지부와;
    상기 수광부를 통한 검출 광량과 상기 이동거리 감지값을 이용하여 상기 서셉터상의 정전기량을 검출하는 제어부를 포함하는 것을 특징으로 하는 평판표시소자의 제조장치.
  14. 제 13 항에 있어서,
    상기 제어부는,
    상기 검출 광량이 소정의 기준 광량값 이상이 되면 그때의 상기 이동거리 감지값을 저장하고, 상기 저장된 이동거리 감지값에 따른 정전기량을 검출하는 것을 특징으로 하는 평판표시소자의 제조장치.
  15. 제 14 항에 있어서,
    상기 제어부는,
    소정의 룩 업 테이블에 구축된 정전기량 값들 중에 상기 저장된 이동거리 감지값과 링크되는 정전기량 값을 상기 서셉터상의 정전기량으로 검출하는 것을 특징으로 하는 평판표시소자의 제조장치.
  16. 제 15 항에 있어서,
    상기 제어부를 통해 검출된 정전기량을 표시하기 위한 표시부를 더 구비하는 것을 특징으로 하는 평판표시소자의 제조장치.
KR1020050132294A 2005-12-28 2005-12-28 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법 KR101296911B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020050132294A KR101296911B1 (ko) 2005-12-28 2005-12-28 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
US11/433,560 US9170441B2 (en) 2005-12-28 2006-05-15 Apparatus for fabricating flat panel display, and apparatus and method for detecting quantity of static electricity thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050132294A KR101296911B1 (ko) 2005-12-28 2005-12-28 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법

Publications (2)

Publication Number Publication Date
KR20070069807A KR20070069807A (ko) 2007-07-03
KR101296911B1 true KR101296911B1 (ko) 2013-08-14

Family

ID=38193214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050132294A KR101296911B1 (ko) 2005-12-28 2005-12-28 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법

Country Status (2)

Country Link
US (1) US9170441B2 (ko)
KR (1) KR101296911B1 (ko)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101296911B1 (ko) * 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN102956432B (zh) * 2012-10-19 2015-07-22 京东方科技集团股份有限公司 显示基板的大气压等离子体处理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI601962B (zh) 2016-12-21 2017-10-11 財團法人工業技術研究院 靜電檢測系統與方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162448A (ja) * 1990-10-24 1992-06-05 Japan Synthetic Rubber Co Ltd 静電チャック装置を備えた真空処理装置
KR20030085572A (ko) * 2001-03-27 2003-11-05 램 리서치 코포레이션 디척킹에 대한 음향 검출 및 그를 위한 장치
JP4282100B2 (ja) * 1997-08-20 2009-06-17 東京エレクトロン株式会社 静電チャックにおける被吸着物の離脱方法及び静電チャック

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632321B2 (en) * 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
KR100776515B1 (ko) * 2000-12-28 2007-11-16 엘지.필립스 엘시디 주식회사 진공증착장치
KR100387525B1 (ko) * 2001-02-05 2003-06-18 삼성전자주식회사 반도체 웨이퍼 위치 상태 감지시스템 및 그 방법
KR20070069802A (ko) * 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
KR101296911B1 (ko) * 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04162448A (ja) * 1990-10-24 1992-06-05 Japan Synthetic Rubber Co Ltd 静電チャック装置を備えた真空処理装置
JP4282100B2 (ja) * 1997-08-20 2009-06-17 東京エレクトロン株式会社 静電チャックにおける被吸着物の離脱方法及び静電チャック
KR20030085572A (ko) * 2001-03-27 2003-11-05 램 리서치 코포레이션 디척킹에 대한 음향 검출 및 그를 위한 장치

Also Published As

Publication number Publication date
US20070146621A1 (en) 2007-06-28
KR20070069807A (ko) 2007-07-03
US9170441B2 (en) 2015-10-27

Similar Documents

Publication Publication Date Title
KR101296911B1 (ko) 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
KR20070069802A (ko) 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
KR102520693B1 (ko) 유기발광소자의 증착장치
CN106293244A (zh) 触控显示面板及其驱动方法以及触控显示装置
KR101182226B1 (ko) 도포 장치, 이의 도포 방법 및 이를 이용한 유기막 형성 방법
WO2019027801A1 (en) ACTIVE MONITORING SYSTEM FOR PREVENTING SUBSTRATE BREAKAGE
KR101089749B1 (ko) 도포장치 및 이의 제어 방법
KR100764628B1 (ko) 평면디스플레이용 화학 기상 증착장치
KR100738877B1 (ko) 평면디스플레이용 화학 기상 증착장치
US20210407828A1 (en) Apparatus for manufacturing display device
KR20150053593A (ko) 평면디스플레이용 화학 기상 증착장치
KR100714882B1 (ko) 평면디스플레이용 화학 기상 증착장치
US11307441B2 (en) Manufacturing for display panel
KR100776515B1 (ko) 진공증착장치
KR101800915B1 (ko) 평면 디스플레이용 화학 기상 증착 장치
KR100821181B1 (ko) 기판 반송장치
KR20020064626A (ko) 평판 디스플레이 소자 측정 장치
KR20050008950A (ko) 증착 장치 및 이를 이용한 증착방법
KR100667133B1 (ko) 증착장비용 리프트 핀
KR20120100520A (ko) 유기 전계 발광 표시장치의 제조장치 및 제조방법, 박막 형성 장치 및 형성 방법
KR100626280B1 (ko) 진공증착장비의 서스셉터 구동 장치
KR101268959B1 (ko) 평판 표시 장치의 인캡슐레이션 장치 및 인캡슐레이션 방법
KR100994223B1 (ko) 액정표시장치의 구동장치 및 방법
KR101121998B1 (ko) 평판 표시소자의 제조장치 및 제조방법
KR101285534B1 (ko) 전계 발광 표시소자의 유기물질 증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160712

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190723

Year of fee payment: 7