KR20170100070A - 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법 - Google Patents

여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20170100070A
KR20170100070A KR1020177023740A KR20177023740A KR20170100070A KR 20170100070 A KR20170100070 A KR 20170100070A KR 1020177023740 A KR1020177023740 A KR 1020177023740A KR 20177023740 A KR20177023740 A KR 20177023740A KR 20170100070 A KR20170100070 A KR 20170100070A
Authority
KR
South Korea
Prior art keywords
gas
species
nitrogen
substrate
oxidant
Prior art date
Application number
KR1020177023740A
Other languages
English (en)
Inventor
에릭 쉬로
페트리 라이자넨
성훈 정
창-공 왕
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20170100070A publication Critical patent/KR20170100070A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/60Feed streams for electrical dischargers
    • C01B2201/64Oxygen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반응 챔버 내에 있는 기판상에 막을 증착하기 위한 시스템 및 방법이 특히 기술된다. 예시적인 방법에서, 이 방법은 상기 기판에 원자층 증착 사이클을 적용하는 단계를 포함하고, 이 사이클은 전구체 펄스 인터벌 동안 전구체 가스에 기판을 노출시킨 후 전구체 가스를 제거하는 단계, 및 산화 펄스 인터벌 동안 옥시던트 가스 및 질소-함유 종 가스를 포함하는 산화제에 기판을 노출시킨 후 그 산화제를 제거하는 단계를 포함할 수도 있다. 본 발명의 양태들은, 오존과 같은 산화제와 더 조합될 가능성이 있는 분자 및 여기된 질소-산소 라디칼/이온 종들을 이용한다. 또한, 본 발명의 실시형태들은 본 발명에 따른 방법을 통해서 제조된 디바이스들을 포함하는 전자 컴포넌트들 및 시스템들을 포함한다.

Description

여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법{SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES}
관련 출원에 대한 상호 참조
본 발명은, 발명의 명칭이 "SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES" 로 2009년 8월 14일자로 출원된 특허 가출원 제61/234,017호, 발명의 명칭이 "SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES" 로 2010년 5월 7일자로 출원된 특허 가출원 제61/332,600호, 및 발명의 명칭이 "SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES" 로 2010년 8월 11일자로 출원된 미국 실용신안 특허 출원 제12/854,818호에 관한 것이며 이들로부터의 우선권을 주장하며, 이들 각각은 참조로서 본 명세서에 통합된다.
발명의 분야
본 발명은 박막 증착에 관한 것이고, 더욱 상세하게는 오존 및 여기된 질소-산소 종을 이용하는 원자층 증착에 의해 금속 산화물을 증착하기 위해 전구체 (precursor) 를 이용하는 시스템 및 방법에 관한 것이다.
수년 동안, 이산화규소 (SiO2) 는, 트랜지스터 게이트 유전체 및 커패시터 유전체와 같은 컴포넌트에 대한 반도체 기판에 이용되어 왔다. 그러나, 회로 컴포넌트의 사이즈가 감소됨에 따라, SiO2 의 전기적 성능 특징은 증가된 누설 전류와 같은 바람직하지 못한 영향을 초래하였다. 고속 및 저전력 성능을 유지하기 위한 누설 전류의 제어는, SiO2 와 같은 구세대 유전체가 더 새로운 집적 회로 지오메트리의 제조에 사용될 때 도전과제를 제공한다.
더 새로운 공정, 특히, 65nm 보다 작은 제조 지오메트리를 사용하는 공정이 반도체 제조에서 고유전 상수 ("하이-k") 를 포함하기 시작하였다. 이제, 몇몇 칩메이커는 특히 45nm 이하의 공정 지오메트리에 대해 하이-k 유전체에 의존한다. SiO2 게이트 유전체를 하이-k 유전체로 대체하는 것은, 누설 및 다른 전기적 성능 기준을 제어하면서 더 소형의 디바이스 지오메트리를 달성하는데 중요하다.
하이-k 유전체의 사용이 트랜지스터 게이트 유전체와 같은 집적 회로 컴포넌트의 더 작은 스케일링을 허용하지만, 도전과제가 그들의 제조에서 발생한다. 지르코늄 산화물, 티타늄 산화물, 하프늄 산화물, 탄탈륨 산화물, 알루미늄 산화물, 이트륨 산화물 및 란타늄 산화물과 같은 특정한 금속 및 희토류 산화물은, 박막으로서 증착될 때 바람직한 특징을 제공하는 것으로 알려져 있지만, 공정 화학물질들 사이의 비호환성, 연장된 증착 사이클 횟수, 및 양호하지 못한 증착 균일도과 같은 제조 공정 동안 도전과제를 여전히 제공한다.
반도체와 같은 기판상에 박막을 제공하기 위한 매우 다양한 방법 및 관련 장치가 존재한다. 몇몇 방법은 진공 증발 증착, 분자 빔 에피택시, (저압 CVD, 유기금속 CVD 및 플라즈마 강화 CVD 를 포함하는) 화학 기상 증착 (CVD) 의 상이한 변형 및 원자층 에피택시 (ALE) 와 같은, 반도체 상에서 표면 반응을 이용함으로써 기판상에 박막을 형성한다. ALE 를 또한 원자층 증착 (Atomic Layer Deposition; ALD) 이라 칭한다.
ALD 는 다양한 전구체 종의 순차적 도입을 통해 기판의 표면상에 박막을 증착하는 방법이다. 종래의 ALD 장치는 반응기 및 기판 홀더를 포함하는 반응 챔버, 전구체 및 반응물질을 기판 표면에 제공하는 가스 인렛을 포함하는 가스 흐름 시스템 및 사용된 가스를 제거하는 배기 시스템을 포함할 수도 있다. 성장 메커니즘은 기판의 활성 사이트상의 전구체의 흡착에 의존하고, 조건은 바람직하게는, 단지 단층을 기판상에 형성하여 공정을 자체-종료하도록 유지된다. 일반적으로, 제 1 전구체에 대한 기판의 노출에는 퍼지 스테이지 (purging stage) 또는 다른 제거 공정 (예를 들어, 배출 또는 "펌프 다운 (pump down)") 이 후속하고, 여기서, 임의의 반응 부산물 뿐만 아니라 제 1 전구체의 임의의 초과량이 반응 챔버로부터 제거된다. 그 후, 제 2 반응물 또는 전구체가 반응 챔버로 도입되고, 이 때, 제 1 전구체와 반응하며, 이러한 반응은 기판상에 원하는 박막을 생성한다. 이 반응은, 기판상에 흡수된 모든 이용가능한 제 1 전구체 종이 제 2 전구체와 반응하였을 때 종료한다. 그 후, 임의의 나머지 제 2 전구체 및 가능한 반응 부산물을 반응 챔버에서 제거하는 제 2 퍼지 또는 다른 제거 스테이지가 수행된다. 이러한 사이클은 원하는 두께로 막을 성장시키기 위해 반복될 수 있다.
다른 증착 공정과 비교한 ALD 의 인식된 이점들 중 하나는, 온도가 (응결 온도 이상이고 반응물의 열 분해 온도 이하인) ALD 윈도우 내에 있는 한, 자체 포화하고 균일하며, 충분한 반응물이 각 펄스에서 표면을 포화시키기 위해 제공된다는 것이다. 따라서, 균일한 증착을 획득하기 위해 온도나 가스 공급 어느 것도 완벽하게 균일할 필요가 없다.
ALD 는 핀란드 특허 공개 제52,359호 및 제57,975호 및 미국 특허 제4,058,430호 및 제4,389,973호에 또한 기재되어 있다. 이들 방법을 구현하는 장치가, 미국 특허 제5,855,680호, 제6,511,539호, 및 제6,820,570호, 핀란드 특허 제100,409호, Material Science Report 4(7)(1989), p.261, 및 Tyhjiotekniikka (진공 기술에 대한 핀란드 공보), ISBN 951-794-422-5, pp.253-261 에 개시되어 있다.
상이한 막 재료가 ALD 를 이용하여 증착되었다. ALD 에서 사용하기 위한 공지된 재료는, Al2O3, HfO2, ZrO2, La2O3 및 Ta2O5 와 같은 이원 산화물을 포함한다. ALD 에서의 사용을 위해 다양한 삼원 산화물이 널리 공지되어 있고, HfZrO, HfAlO 및 HfLaO 를 포함한다. 이전에 논의한 바와 같이, 하이-k 유전체 애플리케이션에서의 사용을 위한 적절한 재료의 선택은 특정한 기판 및 회로 환경에 대한 증착된 물질의 영향의 고려뿐만 아니라 공정 화학물질에 대한 고려를 요구한다. HfLaO 의 ALD 의 경우에서, 공지된 Hf-전구체는 HfCl4 이고, 공지된 La-전구체는 La(THD)3 이다. La2O3 의 흡습성으로 인해, 오존 (O3) 이 종래의 공정에서는 산화제로서 H2O 대신에 종종 사용되지만, 공교롭게도, HfCl4/O3 공정 및 La(THD)/O3 공정 양자는 제공된 오존에서의 작은 변화에도 매우 민감하다. 몇몇 경우에서, 오존의 사용은 또한 증착된 산화막의 양호하지 못한 균일도를 발생시킨다. 또한, 2개의 상이한 산화 화학물질의 관리는, (오존과 같은) 단일 산화제가 증착 공정에서 사용되는 금속 전구체의 유형에 관계없이, 효율적이고 일관된 증착 결과를 획득하기 위한 방식으로 사용될 수 있는 것이 바람직할 때 증착 공정을 복잡하게 한다.
가스를 여기시켜, 이온, 자유 라디칼 (free radical), 원자 및 분자를 함유하는 활성 가스를 생성하기 위해 플라즈마 방전이 사용될 수 있다. 활성 가스는 반도체 웨이퍼, 파우더, 및 다른 가스와 같은 고체 재료의 처리를 포함하는 다수의 산업 및 과학 애플리케이션에 대해 사용된다. 처리되는 재료에 대한 플라즈마의 노출의 조건 및 플라즈마의 파라미터는 애플리케이션에 광범위하게 의존하여 변화한다.
플라즈마는 전류 방전, 무선 주파수 (RF) 방전, 및 마이크로파 방전을 포함하는 다양한 방식으로 생성될 수 있다. 전류 방전은 가스 중의 2개의 전극 사이에 전위를 인가함으로써 달성된다. RF 방전은 전원으로부터 플라즈마로 에너지를 정전기적 또는 유도적으로 커플링함으로써 달성된다. 통상적으로 플라즈마로 에너지를 정전기적으로 커플링하기 위해 평행판이 사용된다. 통상적으로, 플라즈마로 전류를 유도하기 위해 유도 코일이 사용된다. 마이크로파 방전은 마이크로파 통과 윈도우를 통해 마이크로파 에너지를 가스를 함유하는 방전 챔버에 직접 커플링함으로써 달성된다. 마이크로파 방전은, 이들이 고도로 이온화된 전자 사이클로트론 공진 (ECR) 플라즈마를 포함하는 광범위한 방전 조건을 지원하기 위해 사용될 수 있기 때문에 바람직하다.
ALD 시스템은 오존과 같은 산화제 가스를 생성하기 위해 플라즈마-기반 접근방식을 사용하였다. 하나의 공통 구성에서, 유전체 배리어 방전 (Dielectric Barrier Discharge; DBD) 오존 생성기는 코로나 방전 (corona discharge) 소스에 공급가스로서 제공되는 산소 (O2) 로부터 오존 (O3) 을 생성한다. 도 5 를 참조하면, 간략한 DBD 오존 생성기 셀 (500) 이 예시되어 있다. 통상적으로, 건조 공급가스 산소 (530) 는 교류 (AC) 전압 소스 (560) 와 같은 고전압 소스에 의해 차례로 에너자이징되는 전극들 (510A, 510B) 사이에 형성된 갭 (505) 을 통과한다. 소스 (560) 에 의해 생성된 전압은 생성기의 구성에 의존하여 수천 볼트에 도달할 수 있다. 다르게는, 전극들 중 하나가 접지 전위에 있을 수도 있고, 다른 전극은 고전압으로 에너자이징될 수 있다. 유전체 재료 (520A, 520B) 가 에너자이징된 전극들 (510A, 510B) 과 공급가스 (530) 사이에 개재된다. 저주파수 또는 고주파수에서의 고전압이 전극들 (510A, 510B) 에 인가될 때, 오존 (550) 은 갭 (505) 에서 발생하는 마이크로 방전에 의해 공급가스에서 생성되고 유전체 (520A, 520B) 에 걸쳐 분포된다. 갭의 지오메트리 및 유전체 재료의 품질은 오존 생성기 제조자에 의해 변화한다. 그 중에서도, DBD 디바이스는 유전체에 의해 분리된 평행판을 사용하는 다수의 구성, 통상적으로 평면, 또는 그 사이에 유전체 튜브를 갖는 동축판을 사용하는 원통 형상으로 제조될 수 있다. 공통의 동축 구성에서, 유전체는 공통 형광 배관 (fluorescent tubing) 과 동일한 형상으로 정형된다. 대기압에서 희가스 또는 희가스-할라이드 혼합물로 유전체 배리어로서 작용하는 유리벽을 채운다. 공통 유전체 재료는 유리, 석영, 세라믹 및 폴리머를 포함한다. 전극 사이의 갭 거리는 애플리케이션에 의존하여 0.1 mm 로부터 수 cm 까지 매우 다양하다. 또한, 공급가스의 조성이 오존 생성기의 동작에서 중요한 팩터이다.
DBD 원리를 사용하는 고성능 오존 생성기는 최적의 성능 및 일관된 오존 생성을 획득하기 위해 공급가스에서 질소를 요구한다. 오존의 형성은 O2, N2 또는 가능하면 다른 분자와 같은 산소 원자, 산소 분자 및 충돌 파트너 (collision partner) 사이의 반응을 수반한다. 충돌 파트너가 질소이면, 질소 분자는 충돌 이후에 그들의 여기 에너지를 산소 분자로 전달하여 해리를 발생시킬 수 있다. 또한, 형성되는 여기 질소 라디칼 중 몇몇은 산소를 해리하거나 질소 산화물과 반응하여 산소 원자를 유리시킬 수 있다. 다수의 상이한 형태의 질소-산소 화합물이 공정 동안 생성될 수도 있고, NO, NO2, N2O, 및 N2O5 는 출력 DBD 유형 오존 생성기에서 측정되었다. 몇몇 경우에서, 오존 스트림에서 N-O 화합물로부터 가스 라인 및 용접의 공격적인 부식이 발생할 수도 있기 때문에, 몇몇 제조자는 오존 생성기의 출력 오존 스트림으로부터 특정한 N-O 종의 존재를 전체적으로 감소시키거나 제거하는데 노력을 집중하였다. 종래의 오존 생성기에서, 오존 생성기의 출력 스트림에서의 N-O 화합물의 존재 및 유형에 대한 제어가 부족하고, 이러한 화합물의 형성 및 생성을 모니터링하고/하거나 액티브하게 제어할 수 있는 필요성이 존재한다.
따라서, 감소된 스루풋 시간 및 강화된 증착 균일도으로 기판상에 유전체막을 증착하는 방법에 대한 필요성이 존재한다. 또한, 오존 생성기와 같은 산화제 생성기에서 생성된 질소-산소 화합물을 모니터링하고/제어하기 위한 시스템이 필요하다.
본 발명은, 향상된 증착 효율성 및 균일도로 하프늄 산화물 (HfO2), 지르코늄 산화물 (ZrO2), 란타늄 산화물 (La2O3) 및/또는 탄탈륨 산화물 (Ta2O5) 과 같은 금속 산화물 막을 증착하기 위한 방법 및 시스템을 포함한다. 본 발명의 실시형태는 분자 및 여기된 질소-산소 라디칼/이온 종 (이하 "NxOy 종" 으로 지칭되며, 여기서, "x" 및 "y" 는 임의의 적절한 정수를 구성할 수도 있고, NO* 및 N2O*과 같은 여기된 종을 포함할 수도 있음) 과 조합되고, 가능한 한 오존과 같은 산화제와 더 조합되는 아래에 설명된 다양한 전구체와 조합되는 ALD 시스템을 이용한다. 본 발명의 실시형태는 또한 본 발명과 일치하는 방법을 이용하여 제조된 디바이스를 포함하는 전자 컴포넌트 및 시스템을 포함한다.
금속 할라이드 전구체/오존 산화제 화학물질을 이용하여 박막 금속 산화물의 ALD 증착에서 실시된 연구들 도중에, 기판이 순수 산소 공급가스를 이용함으로써 생성되었던 오존 산화제에 노출되었던 경우 기판상에 아무런 성장이 없었다는 것을 관찰하였다. 그러나, 질소 가스가 오존 생성기 내의 산소 스트림에 첨가되었던 경우, 오존 생성의 효율이 증가하는 것은 일반적인 경우이기 때문에, ALD 증착 공정 동안 층의 성장이 관찰되었다. 예를 들어, 순수 산소로부터 생성된 오존을 이용한 다양한 시도에서, 어떠한 균일한 HfO2 또는 ZrO2 층도 300℃ 에서는 증착되지 않지만, 산소/질소 공급가스로부터 오존이 생성된 경우, 균일한 층이 증착될 수 있었다. 또한, 상이한 시도들은, 성장 속도 (growth rate) 및 균일도는 산소 공급가스의 양에 관하여 오존 생성기에서 사용된 질소의 양에 의존한다는 것을 보여준다.
오존의 생성을 위해 사용된 N2 공급가스의 농도가 증착 공정에 영향을 준다는 것이 실험에 의해 또한 결정되었다. 이후, 도 10 및 도 11 에 도시된 바와 같이, 차트에 도시된 2.5 slm, 18wt%, 폐루프 제어를 구비하고, 다양한 농도의 질소에 따른 오존 생성기에서의 O2의 흐름을 이용하여 추가적인 실험이 실시되었다. 반응 챔버로 흘러 들어가는 오존 주입은 1200 sccm이었다. HfCl4 전구체는 3 초 동안 챔버 안으로 펄스된 후 3 초 퍼지되었고, 이후 오존 생성기로부터 획득된 가스는 10 초 동안 반응 챔버 안으로 펄스된 후 10 초 퍼지되었다. 그 결과, 질소 농도가 증가된 경우 증착된 금속 산화물층의 성장 속도가 즉시 증가하기 시작하였고, 질소 농도가 (도 11 의 그래프의 최좌측 부분을 나타내는 도 10 의 확대도에서 볼 수 있는 바와 같이) 약 110 ppm에 도달한 경우 먼저 피크에 도달하고 질소 농도가 더 증가됨에 따라 서서히 감소하기 시작하였다. 비슷하게, 균일도 (NU%) 가 개선되었고 약 110 ppm의 질소 농도에서 최고 값에 도달하였다. 도 11 은 N2 농도가 증가된 경우의 추가적인 영향을 도시하는 것으로, 먼저, 두께가 감소하고 균일도가 약 4000 ppm의 N2의 범위까지 감소하지만, 이후 N2 농도가 증가됨에 따라 반전되는 경향이 있어, 24000 ppm의 N2 근처에서 현저하게 평탄화된다. 성장 속도 및 증착된 층의 균일도에 관한 원하는 효과에 의존하여, N2의 농도는 원하는 효과를 달성하도록 조정될 수도 있다. 도 12 는 유사한 HfCl4 전구체 및 공정 파라미터를 이용한 상이한 시각의 공정을 도시하지만, 오존 생성기에 공급된 N2 공급가스의 흐름 속도 (flow rate) 의 함수로서 성장 속도과 균일도를 나타낸다. 그래프에서 볼 수 있는 바와 같이, N2의 흐름의 증가는 성장 속도의 실질적인 증가와 증착된 하프늄 산화물층의 균일도를 향상시킨다.
다른 ALD 전구체 화학물질을 이용하는 실험은 또한, 오존 생성기에서 질소 공급가스 농도가 증가된 경우 금속 산화물의 증착에 개선이 있었음을 입증한다. 도 13 은, 오존 생성기에 공급된 질소 공급가스의 양이 증가됨에 따라 ALD 공정에서 증착된 란타늄 산화물 막의 두께 및 균일도 (NU%) 의 개선을 나타내는 차트를 도시한다. 이 경우에 사용된 전구체는 희토류의 시클로펜타디에닐 (Cp) 화합물 La(iPrCp)3 이었다.
강한 옥시덴트 N2O 가, ALD 공정들에서 산화제 가스로서 단독으로 사용된 경우, HfCl4 및 TMA 전구체 화학물질과 함께 금속 산화물층을 성장시켰는지 여부를 결정하기 위해 추가적인 테스트가 실시되었다. N2O 가스는 오존 생성형 디바이스로부터가 아닌 실린더로부터 공급되었고, ALD 공정 동안 사용된 온도와 관계없이, 이 구성에서 성장이 관찰되지 않았다. 그러나, 오존 생성 동안 형성된 활성 N-O 화합물은 상술된 바와 같은 균일한 층 성장을 발생시키는데 효과적이다.
산소 및 질소가 플라즈마 소스에 노출된 것에서 비롯된 다양한 질소 화합물들은 박막 증착 공정의 성장 속도 및 균일도를 향상시키는 활성 화합물을 초래하는 것으로 결정되었다. 본 발명의 실시형태는 질소 및 산소 화합물, 구체적으로 컴포넌트 가스들이 플라즈마 소스에 노출된 것으로부터 획득되는 여기된 N-0 종을 사용하여, ALD 에서 금속 산화물층의 균일한 성장을 획득한다. 또한, 여기된 N-O 종의 사용은 다양한 형태의 상술된 증착 공정들에서도 사용될 수도 있다는 것을 당업자는 이해한다.
일 실시형태에서, 본 발명의 방법 및 시스템은 활성화된 가스 함유 이온 및 질소-산소 화합물의 활성 종을 자유 라디칼 (이하 활성 NxOy 종으로 지칭함, "x" 및 "y" 는 임의의 적절한 정수를 포함할 수도 있다) 의 형태로 사용하여 희토류의 산화물을 포함하는 박막 금속 산화물의 증착을 향상시킨다. 기판이 반응기에서 ALD 전구체 펄스/퍼지 사이클에 노출된 이후, 가스 안의 이온/자유 라디칼들은 오존과 같은 추가적인 산화제를 이용하여 또는 오존과 같은 추가적인 산화제를 이용하지 않고 산화 펄스 동안 기판을 통해서 반응기 안으로 도입된다. 도입된 가스가 처리될 재료에 접촉하게 됨으로써, 원하는 반응이 발생한다. 일 실시형태에서, 증착된 재료의 유기-금속 또는 금속 할라이드 함유 층은 추가적인 산화제를 이용하여 또는 추가적인 산화제를 이용하지 않고 활성 NxOy 종의 도입에 의해 산화된다.
본원에 사용된 바와 같이, "기판" 은 막 처리가 실시되는 어떤 표면을 지칭한다. 예를 들어, 처리가 실시될 수 있는 기판은 애플리케이션에 따라 실리콘, 실리콘 산화물, 절연체 상의 실리콘 (SOI), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 또는 금속, 금속 질화물, 금속 합금과 같은 임의의 다른 적절한 재료, 또는 다른 도전성 재료, 인쇄된 유기 및 무기 회로 보드, 또는 박막 세라믹 기판을 포함할 수 있다. 바람직한 실시형태에서, 기판은 반도체를 포함한다. 기판 표면 상의 배리어 층들, 금속들 또는 금속 질화물은 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈륨 및 탄탈륨 질화물을 포함한다. 기판은 200 mm 또는 300 mm 직경의 웨이퍼와 같은 임의의 원하는 치수를 가질 수도 있으며, 또한 직사각 또는 정사각 패널의 형태를 취할 수도 있다.
본원에 사용된 "펄스" 는 반응 챔버의 반응 구역으로 간헐적으로 또는 비계속적으로 도입되는 화합물의 양의 도입을 지칭한다. 각각의 펄스 내의 특정 화합물의 양은 펄스의 지속기간에 의존하여 시간에 따라 변할 수도 있다. 아래에 더 완전히 설명되는 바와 같이, 각각의 펄스 지속기간은, 예를 들어, 사용된 공정 챔버의 부피 용량, 결합되는 진공 시스템, 및 특정 화합물 그 자체의 휘발도/반응도와 같은 많은 팩터에 따라서 선택된다.
일 실시형태에서, 반응 챔버 내에 위치되는 기판상에 막을 증착하는 방법이 제공되며, 이 방법은 원자 층 증착 사이클을 기판에 제공하는 단계를 포함하며, 사이클은 기판을 전구체 펄스 인터벌 동안 전구체 가스에 노출한 후 전구체 가스를 제거하는 단계; 및 기판을 산화 펄스 인터벌 동안 옥시던트 가스 (oxidant gas) 및 질소-함유 종 가스를 포함하는 산화제에 노출한 후 산화제를 제거하는 단계를 포함한다. 전구체 가스는 임의의 적절한 금속을 포함할 수도 있고, 본 발명의 다양한 실시형태는 Sc, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb, 및 Lu와 같은 하나 이상의 희토류 금속을 포함하는 전구체 가스를 포함한다. 전구체 가스는, 하프늄 테트라클로라이드 (HfCl4); 티타늄 테트라클로라이드 (TiCl4); 탄탈륨 펜타클로라이드 (TaCl5); 탄탈륨 펜타플로라이드 (TaF5); 지르코늄 테트라클로라이드 (ZrCl4); (La(THD)3) 및 (Y(THD)3) 를 포함하는 희토류 베타디케토네이트 (betadiketonate) 화합물; La(iPrCp)3 을 포함하는 희토류 시클로펜타디에닐 (Cp) 화합물; 란타늄 트리스-포름아미디네이트 (La(FAMD)3) 를 포함하는 희토류 아미디네이트 화합물; 희토류 금속들을 포함하는 시클로옥타디에닐 화합물; 테트라키스-에틸-메틸아미노 하프늄 (TEMAHf); 테트라키스 (디에틸아미노) 하프늄 ((Et2N)4Hf 또는 TDEAH); 및 테트라키스 (디메틸아미노) 하프늄 ((Me2N)4Hf 또는 TDMAH) 을 포함하는 알킬아미도 화합물; 알콕시드; 실리콘의 할라이드 화합물, 실리콘 테트라클로라이드; 실리콘 테트라클로라이드; 및 실리콘 테트라요오드를 포함하는 (그러나, 이것으로 제한되지 않는다) 금속, 유기-금속, 또는 금속 할라이드 화합물과 같은 임의의 원하는 화합물을 포함할 수도 있다.
옥시던트 가스는 임의의 적절한 옥시던트를 포함할 수도 있고, 질소-함유 종 가스만을 포함할 수도 있다. 질소-함유 종 가스는 NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 또는 라디칼 종을 포함할 수도 있다. 옥시던트는 바람직하게는 O, O2, NO, N2O, NO2, NO3, N2O5, NOx, NxOy 라디칼 종, NxOy 이온 종, NxOy 분자 종 및 그 조합으로 구성된 그룹으로부터 선택된 하나 이상의 가스와 결합하여 오존을 포함할 수도 있다. 오존의 다양한 활성 농도는 대략 5원자% 내지 25원자% 의 O3 를 포함하는 옥시던트 가스에서 사용될 수도 있다. 옥시던트 가스는 분해 공정, 예를 들어, N2O5*가 NO2* 및 NO3*과 같은 부산물로 분해되는 것 (이것으로 한정되지 않음) 으로 인한 분자, 또는 활성화된 이온 또는 라디칼 종을 포함할 수도 있다.
본 발명의 실시형태에 사용된 오존은, 공급되는 O2 와, N2 또는 NO, N2O, NO2, NO3 및 N2O5와 같은 질소의 임의의 가스 소스를 포함할 수도 있는 질소 소스 가스가 공급되는 플라즈마 방전으로부터 생성될 수도 있다. 다양한 실시형태에서, 오존 생성기의 출력 스트림은 분자 NxOy 종을 포함하는 질소-함유 종 가스 및 또는 추가적으로, 여기된 NxOy 라디칼 또는 이온 종을 포함할 수도 있고, O2, NO, N2O, NO2, NO3, N2O5, NOx, NxOy, 그 라디칼, 및 O3 중 2 이상의 혼합물을 포함할 수도 있고, 그 혼합물은 대략 5원자% 내지 25원자% 의 O3를 포함할 수도 있다. 혼합물을 포함하는 NxOy 종 및 오존을 생성하기 위해 임의의 원하는 흐름 비율이 사용될 수도 있으며, 여기서 N2/O2의 흐름 비율은 0.001 을 초과한다. 산소 소스 가스와 질소 소스 가스의 비율은 또한, 증착된 막의 성장 속도; 기판에 걸친 막 균일도; 증착된 막의 유전 상수; 증착된 막의 굴절률; 및 증착된 막의 분자 조성을 포함하는 ALD 공정의 다른 양태들에 영향을 줄 수도 있다. 출력 스트림은, 예를 들어 N2O5가 NO2 및 NO3 와 같은 부산물로 분해되는 (이것으로 한정되지 않음) 분해 공정에서 발생된 가스들의 혼합을 포함할 수도 있다.
본 발명의 생성기의 실시형태는 적어도 전력 입력, 산소 가스 입력 또는 질소 입력을 제어함으로써 조정될 수도 있다. 일 실시형태에서, 전력 입력은 플라즈마를 제어하고, 플라즈마로 전달된 전력의 양은 증착된 막의 성장 속도; 기판에 걸친 막 균일도; 증착된 막의 유전 상수; 증착된 막의 굴절률; 및 증착된 막의 분자 조성 중 적어도 하나를 결정한다. 또한, O2 및 질소 소스 가스를 플라즈마 방전에 노출함으로써; 플라즈마 방전에 의해 생성되는 여기된 NxOy 종 및 O3의 비율을 모니터링함으로써; 및 플라즈마 방전에 대한 전력 입력, 하우징의 온도; O2의 흐름 속도, 및 질소 소스 가스의 흐름 속도 중 적어도 하나를 조정하여 소정의 기준을 달성함으로써 오존과 같은 산화제의 생성을 조절하기 위한 방법이 제공된다. 이 기준은, 산화제 흐름 속도; 옥시덴트/NxOy 농도 비율; 활성 NxOy 종 농도; 활성 NxOy 종의 비율 (여기서, 여기된 NxOy 종 가스는 복수의 여기된 질소-산소 화합물을 포함함); 특정 활성 질소-산소 화합물의 농도를 포함하는 생성기 동작의 임의의 적절한 파라미터가 되도록 선택될 수도 있다.
본 발명의 실시형태는 추가적인 전구체 펄스들 및 산화제 펄스들을 임의의 조합으로 포함할 수도 있다. 이 방법은 기판을 제 2 전구체 펄스 인터벌 동안 제 2 전구체 가스에 노출시킨 후 제 2 전구체 가스를 제거하는 단계; 및 제 2 전구체 가스를 제거한 후, 기판을 산화 펄스 인터벌 동안 옥시던트 가스 및 질소-함유 종 가스를 포함하는 산화제에 노출시킨 후 산화제를 제거하는 단계를 더 포함한다. 일반적으로, 본 발명의 방법은 오존 및 여기된 질소-산소 종을 포함하는 옥시덴트 및 금속 할라이드 전구체를 이용하여 임의의 막 적층에 금속 산화물을 적어도 하나 증착하는 단계를 포함한다. 금속 산화물은, 예를 들어, Al2O3, HfO2, ZrO2, La2O3 및 Ta2O5 중 적어도 하나를 포함할 수도 있다. 금속 할라이드는 임의의 할라이드 원소와 화합물 결합된 임의의 금속을 포함한다.
ALD 사이클은 소정의 층 두께와 같은 임의의 원하는 목적을 달성하기 위해 임의의 횟수로 반복될 수도 있다. 또한, ALD 사이클 마다의 전구체 시퀀스의 반복 횟수는, ALD 사이클 마다 수행되는 제 1 전구체 가스 시퀀스 대 제 2 전구체 가스 시퀀스의 횟수의 비율일 수도 있다.
기판에 다양한 가스를 노출하기 위한 펄스 인터벌은 증착된 층 성장 속도 또는 사이클 쓰루풋 시간과 같은 임의의 원하는 공정 기준을 만족시키도록 선택될 수도 있다. 일 실시형태에서, 제 1 전구체 펄스 인터벌은 300 밀리초 내지 5 초의 범위 내에 있고, 제 1 산화 펄스 인터벌은 50 밀리초 내지 10 초의 범위 내에 있고; 제 2 전구체 펄스 인터벌은 500 ms 내지 10 초의 범위 내에 있고; 그리고 제 1 산화 펄스 인터벌은 50 밀리초 내지 10초의 범위 내에 있다. 바람직한 실시형태에서, 제 1 전구체 펄스 인터벌은 1 초 내지 2 초의 범위 내에 있고; 제 1 산화 펄스 인터벌은 50 밀리초 내지 2 초의 범위 내에 있고; 제 2 전구체 펄스 인터벌은 1 초 내지 4 초의 범위 내에 있고; 그리고 제 1 산화 펄스 인터벌은 50 밀리초 내지 2 초의 범위 내에 있다.
가스 및 반응 부산물은 임의의 원하는 기술을 이용하여 반응 챔버로부터 제거될 수도 있다. 일례로, 전구체 가스 및 산화제 가스를 제거하는 방법은 소정의 퍼지 기간 동안 반응 챔버에 퍼지 가스를 도입하는 단계를 포함하며, 퍼지 가스는 아르곤, 질소, 헬륨, 수소, 형성 가스, 크립톤, 및 크세톤 중 적어도 하나를 포함하고; 퍼지 기간은 대략 3 초 내지 10 초의 범위 내에 있는 것으로 선택될 수도 있다. 대안적인 실시형태에서, 퍼지 기간은 500 밀리초 내지 4 초의 범위 내에 있다. 일 실시형태에서, 하나 이상의 전구체 가스 및 산화제 가스를 제거하는 방법은 소정의 배기 기간 동안 반응 챔버에서 가스를 배기시키는 단계를 포함할 수 있다.
전자식 디바이스는 본 발명과 일치하는 방법에 의해 제조될 수도 있다. 이러한 디바이스는, 별도의 컴포넌트로서 생성되거나 반도체 또는 다른 기판 내에서 형성된 것이든 아니든 커패시터, 트랜지스터, FLASH 메모리 셀, 및 DRAM 메모리 셀을 포함한다. 전자식 디바이스는 유전체 층과 소통하는 전도층 및 금속 산화물 유전체 층을 포함하고, 유전체 층은 본원에 기재된 방식으로 기판에 ALD 사이클을 적용함으로써 막에 증착된다.
또한, 반응 챔버; 반응기 챔버에 커플링된 전구체 반응물 소스; 반응기 챔버에 커플링된 퍼지 가스 소스; 반응기 챔버에 커플링된 옥시던트 소스; 반응기 챔버에 커플링되는 여기된 질소 종 소스 (excited nitrogen species source) 를 포함하는 시스템; 및 본원에 기재된 임의의 방법의 단계를 실시하도록 구성되는 시스템으로서, 시스템 동작 및 제어 메커니즘을 아래에 보다 상세하게 설명한다. 본 명세서에서의 본 발명의 설명은 예시적이며 단지 설명을 위한 것이고, 특허청구되는 본 발명을 한정하는 것이 아니라는 점은 이해되어야 한다.
도 1 은 본 발명의 실시형태에 대한 공정 흐름을 나타낸다.
도 2 는 본 발명의 박막 처리 시스템의 개략적인 도시를 나타낸다.
도 3a 는 분리된 산화제와 NxOy 종 소스들을 이용한 본 발명의 박막 처리 시스템의 개략적인 도시를 나타낸다.
도 3b 는 반응 챔버 내에서 NxOy 종 소스를 이용한 본 발명의 박막 처리 시스템의 개략적인 도시를 나타낸다.
도 4 는 본 발명의 산화제/NxOy 종 소스의 일 실시형태를 나타낸다.
도 5 는 종래 기술의 DBD 오존 생성기 셀을 단순화하여 도시하고 있다.
도 6 은 본 발명과 조화되는 방법들에 의해 형성되는 유전층을 갖는 금속 산화물 트랜지스터를 나타낸다.
도 7 은 본 발명과 조화되는 방법들에 의해 형성되는 적어도 하나의 유전층을 갖는 메모리 셀을 나타낸다.
도 8 은 본 발명과 조화되는 방법들에 의해 형성되는 유전층을 포함하는 전자 컴포넌트를 통합한 일반적인 시스템을 나타낸다.
도 9 는 본 발명과 조화되는 방법들에 의해 형성되는 유전층을 포함하는 전자 컴포넌트를 통합한 컴퓨터와 같은 정보 처리 디바이스를 나타낸다.
도 10 은 질소 공급가스 농도가 변화되고 있었을 때 증착된 하프늄 산화물의 두께 및 균일도를 측정한 다른 실험을 나타내면서, 도 11 의 가장 좌측을 표현하는 챠트를 나타낸다.
도 11 은 질소 공급가스 농도가 변화되고 있었을 때 증착된 하프늄 산화물의 두께 및 균일도를 측정하는 실험을 나타내는 챠트를 나타낸다.
도 12 는 질소 공급가스 흐름 속도가 변화되고 있었을 때 증착된 하프늄 산화물의 두께 및 균일도를 측정하는 실험을 나타내는 챠트를 나타낸다.
도 13 은 오존 생성기에 공급된 질소 공급가스의 양으로서 증착된 란타늄 산화물 막의 두께 및 균일도의 개선을 나타내는 챠트를 도시하고 있다.
본 발명의 예시적인 실시형태들에 대한 참조가 상세히 이루어질 것이고, 이들의 실시예들이 첨부된 도면들에서 나타난다.
본 발명의 실시형태들은 다양한 애플리케이션에서 이용된 박막을 준비하기 위한, 특히 트랜지스터, 커패시터, 및 메모리 셀 제조에 이용된 하이-k 유전체 재료들 및 배리어 재료들을 증착하기 위한 방법을 제공한다. 방법들은, 기판상에 금속 산화물 박막 층을 증착하기 위한 원자층 증착 (ALD) 공정의 이용을 포함한다.
본 발명의 ALD 증착 동안 막에 증착된 재료는 유전체 재료, 배리어 재료, 도전성 재료, 핵형성/시드 재료 또는 접착 재료와 같은 임의의 원하는 재료일 수도 있다. 일 실시형태에서, 증착된 재료는 산소 및 란타늄, 하프늄, 실리콘, 탄탈륨, 티타늄, 알루미늄, 지르코늄, 또는 이들의 조합과 같은 적어도 하나의 추가적인 원소를 함유하는 유전체 재료일 수도 있고, 바람직한 실시형태에서, 증착된 재료는 금속 산화물, 그리고 더 구체적으로는 희토류 금속 산화물을 포함한다. 추가적인 실시형태에서, 유전체 재료는 하프늄 산화물, 지르코늄 산화물, 탄탈륨 산화물, 알루미늄 산화물, 란타늄 산화물, 티타늄 산화물, 실리콘 산화물, 실리콘 질화물, 그것의 옥시나이트라이드 (예를 들어, HfOxNy), 그것의 실리케이트 (예를 들어, HfSixOy), 그것의 알루미네이트 (예를 들어, HfAlxOy), 그것의 실리콘 옥시나이트라이드 (예를 들어, HfSixOyNz), 및 그것의 조합을 함유할 수도 있다. 유전체 재료는 또한, 변하는 조성의 다중 층들을 함유할 수도 있다. 예를 들어, 하프늄 란타늄 실리케이트 재료를 형성하기 위해 하프늄 란타늄 위에 실리콘 산화물층을 증착함으로써 라미네이트 막이 형성될 수도 있다.
일 실시형태에서, 본 발명의 방법 및 시스템은 희토류 산화물을 포함하는 박막 금속 산화물들의 증착을 강화시키기 위해서 자유 라디칼 형태로 질소-산소 화합물의 활성 종들 (이하, 활성 NxOy 종들로서 지칭됨) 및 활성화된 가스 함유 이온들을 이용한다. 일 실시형태에서, NxOy 종들은 ALD 공정의 펄스 다음에 금속 전구체 펄스 동안, 가능하게는 오존과 같은 산화제를 이용하여 기판에 존재한다.
통상적으로, ALD 공정과 함께 활용된 것과 같은 상업적으로 이용가능한 오존 전달 시스템들은 유전체 배리어 방전에 의존하고, 종종 일관된 오존 생성을 제공하기 위해 공급 가스 내에 있는 질소를 활용한다. 복잡한 일련의 플라즈마 반응을 통해서, 다양한 NxOy 종은 N2 의 존재하에서 O2 로부터 코로나 내에 형성할 수 있다. 생성기 배출물 내에서 다양한 농도들로 존재하는 동안 이러한 종들은 O3 농도만을 측정하고 능동적으로 제어하는 전달 시스템에 의해 규제되지 않는다.
오존을 이용한 몇몇 ALD 공정들은 오존 생성 조건에 극도로 민감하다. 예를 들어, HfO2 증착 속도 및 막 균일도에 있어서의 폭넓은 응답은 O2:N2 공급가스 비율의 함수로서 관찰되었고 횡단-흐름에서의 반응기 온도, 열 ALD 반응기 HfCl4/O3 ALD (순수 O3를 이용) 는 낮은 반응기 온도 (200-250℃) 에서 처리 윈도우를 갖는다. 보다 높은 온도 (예를 들어, 300℃) 에서, O3 생성 동안 N2가 첨가된 경우, 균일한 HfO2 층들이 획득되었다. 이러한 실험적 결과는, 오존 기반 ALD 에서의 반응 종은 O3 만이 아닐 수도 있으며, 300℃ 에서의 NxOy 종이 마찬가지로 기여할 수도 있다는 가설을 지지한다.
따라서, O2:N2 공급가스 비율의 함수로서 ALD 반응기에 (오존 전달 시스템으로부터) 들어오고 나가는 가스 종, O3 농도, 및 FTIR을 이용한 생성기 전력 레벨을 특징화하도록 하는 연구가 먼저 수행되었다. N2:O2 공급가스를 갖는 O3 전달 유닛의 아웃렛에서 N2O5 및 N2O가 검출된다. O3 및 NxOy 종의 수명은 반응기 온도와 코팅의 재료 (HfO2, Al2O3 등) 의 함수로서 조사되었다. 흡수된 HfO2-HfCl3를 갖는 오존 반응 동안 반응기 배출물의 FTIR 분석이 사용되어 HfO2 증착에 관한 NxOy의 역할을 설명하였다. ALD 증착 속도, 막 균일도, 및 다양한 벌크 및 다양한 오존 전달 조건 하에서, 그리고 FTIR 에 기초하여 증착된 HfO2에 대한 전기적 막 특성, 및 잠재적인 반응 경로에 관한 O3 및 NxOy 종의 역할을 둘러싼 이론들이 결정되었다. 그 결과, 본 발명의 실시형태들은 오존 생성으로부터의 추가적인 출력으로서 반응 챔버에 도입되었던 다양한 분자 및 여기된 NxOy 종을 이용할 때 일관성 있고 층 두께가 개선된 ALD 증착을 포함한다.
도 1 을 참조하면, NxOy 종들과 같은 활성화된 가스 화합물을 이용하여 얇은 금속 산화물 막을 증착하는 방법 (100) 이 제시된다. 공정 (100) 의 시작 (105) 에서, 기판은 반응 챔버 내에 위치되고, 소정의 온도로 가열된다. 소정의 온도는 임의의 원하는 온도를 포함할 수도 있고, 본 발명의 실시형태들은 약 130 ℃ 내지 300 ℃ 와 같은 온도를 포함할 수도 있다. 공정 (100) 의 실행 동안, 반응 챔버는 임의의 원하는 압력 범위, 예컨대 약 1 mTorr 내지 약 200 Torr, 본 발명의 일 실시형태에서는 약 2 Torr 내지 6 Torr, 그리고 본 발명의 다른 실시형태에서는 약 3 Torr 내지 4 Torr 에서 유지되며, 본 발명의 또 다른 바람직한 실시형태에서 반응 챔버 압력은 약 3.5 Torr 에서 유지된다.
캐리어 가스는 계속해서 또는 간헐적으로 반응 챔버 안으로 유입될 수도 있고, 전구체 생산물, 반응 생산물, 및 산화 생산물을 분배하거나 남아있는 가스들 또는 반응 부산물들을 반응 챔버로부터 퍼지하는데 이용될 수도 있다. 적절한 캐리어 가스 또는 퍼지 가스는 아르곤, 질소, 헬륨, 수소, 포밍 가스 (forming gas), 또는 이들의 조합을 포함할 수도 있다.
ALD 공정이 개시된 (105) 후에, 전구체 가스는 캐리어 가스를 이용하여 또는 캐리어 가스 없이 반응 챔버로 펄스된다 (110). 전구체 가스는, 비제한적으로, 하프늄 테트라클로라이드 (HfCl4); 티타늄 테트라클로라이드 (TiCl4); 탄탈륨 펜타클로라이드 (TaCl5); 탄탈륨 펜타플루오라이드 (TaF5); 지르코늄 테트라클로라이드 (ZrCl4); (La(THD)3) 및 (Y(THD)3) 을 포함하는 희토류 베타디케토네이트 화합물; La(iPrCp)3 를 포함하는 희토류 시클로펜타디에닐 (Cp) 화합물; 란타늄 트리스-포름아미디네이트 (La(FAMD)3) 를 포함하는 희토류 아미디네이트 화합물; 희토류 금속을 포함하는 사이클로옥타디에닐 화합물; 테트라키스-에틸-메틸아미노 하프늄 (TEMAHf); 테트라키스 (디에틸아미노) 하프늄 ((Et2N)4Hf 또는 TDEAH); 및 테트라키스 (디메틸아미노) 하프늄 ((Me2N)4Hf 또는 TDMAH); 알콕사이드; 실리콘의 할라이드 화합물; 실리콘 테트라클로라이드; 실리콘 테트라플루오라이드; 및 실리콘 테트라요오드화물를 포함하는 알킬아미도 화합물을 포함하는, 금속, 유기-금속, 금속 할라이드 화합물과 같은 임의의 원하는 화합물을 포함할 수도 있다.
본원에 지칭된 바와 같은 가스 펄스들 동안, 반응 챔버 내의 기판은 소정의 기간 동안 유입된 가스에 노출되고, 이 기간은 본원에서 펄스 인터벌로 지칭된다. 기판에 전구체 가스의 존재를 위한 펄스 인터벌은 임의의 원하는 시간으로 미리결정될 수도 있고, 예를 들어 대략 300 밀리초 내지 5 초의 범위의 시간을 포함할 수 있으며, 일 실시형태에서 펄스 인터벌은 1 초 내지 3 초의 범위에 있다.
기판이 소정의 펄스 인터벌 동안 전구체 가스에 노출된 후에, 전구체 가스는 퍼지 가스의 유입에 의해 그리고/또는 배기 또는 펌핑에 의해 반응 챔버로부터 퍼지된다 (120). 퍼지 시간, 또는 다른 가스들 또는 반응 생산물들을 대체 및/또는 제거하기 위해 퍼지 가스가 반응 챔버로 유입되는 동안의 시간은 대략 3 내지 10 초와 같은 임의의 원하는 시간으로 선택될 수도 있고, 몇몇 실시형태에서 대략 500 밀리초 내지 5 초일 수도 있다.
상기에서 정의된 바와 같은 활성 NxOy 종 가스는 반응 챔버로 도입되고 (130), 일 실시형태에서, 단계 (110) 에서 증착된 전구체 재료의 층은 추가적인 산화제를 이용하여 또는 추가적인 산화제 없이 활성 NxOy 종들의 도입에 의해 산화된다. 이 단계 (130) 동안, 산화제/옥시던트 가스 또는 산화제/옥시던트 가스들의 조합이 동시에 또는 순차적으로 반응 챔버 안으로 유입되어, 제 1 전구체와 반응할 수도 있다. NxOy 종 가스는 또한, 질소 (N2) 와 같은 캐리어 가스와 함께 또는 캐리어 가스 없이, 또한 옥시던트 가스 또는 옥시던트 가스들의 혼합물과의 가능한 조합으로 도입될 수도 있다. 전술된 바와 같이, NxOy 종들은 임의의 활성화된, 이온성 또는 라디칼 N-O 화합물, 예컨대 활성화된 아산화질소 (N20*), 산화 질소 (NO*), 오산화 이질소 (N205*), 또는 이산화질소 (N02*) 를 포함할 수도 있다. NxOy 종 가스는 임의의 원하는 시퀀스로 생성될 수도 있고, 일 실시형태에서 NxOy 종들은, 02, N2, N2O, NO, NH3 또는 임의의 질소-함유의 분자가 공급되는 오존 생성기로부터의 플라즈마 방전에 의해 생성되고, 여기서 질소-함유의 분자의 농도는 5sccm/2000sccm 또는 2000ppm 보다 크다. 다른 실시형태에서, NxOy 종들은, 임의의 원하는 공급가스와 함께, 유도적으로 결합된 ECR (electron cyclotron resonance), 용량 결합된 방법들과 같은 원격의 또는 직접적인 플라즈마 방법들에 의해 반응 챔버 내에서 생성되거나 반응 챔버에 공급된다. 다른 실시형태에서, NxOy 종들은, 추가의 산소 없이, NO 또는 N2O 와 같은 질소-산소 가스를 (오존 생성기에 의해 제공된 바와 같이)(또는 다르게는, 원격의 또는 직접적인 플라즈마 소스) 코로나 방전으로 공급함으로써 생성된다. 추가의 N2 가 질소-산소 가스들과 함께 코로나 방전 또는 플라즈마 소스에 제공될 수도 있다. 다른 실시형태에서, N2 + 02 의 화학량적인 양이 코로나 방전 또는 플라즈마 소스에 제공되어 NxOy* (예를 들어, NO 라디칼) 를 생성한다.
임의의 원하는 산화 가스는 본 ALD 공정의 임의의 단계에서 이용될 수도 있고, 이러한 산화 가스는 산소 (02), 오존 (03), 원자-산소 (0), 물 (H20), 과산화수소 (H202), 아산화질소 (N20), 산화질소 (NO), 오산화이질소 (N205), 이산화질소 (N02), 이들의 유도체 또는 이들의 조합을 포함할 수도 있다. 바람직한 실시형태에서, 산화 가스는 오존/산소 (03/02) 혼합물이고, 오존은 03/02 혼합물의 약 5원자% 03 내지 약 25원자% 03 범위 내의 농도에 있다. NxOy 종들이 오존/산소 (03/02) 혼합물과 같은 옥시던트 가스와 동시에 도입되는 일 실시형태에서, NxOy 종들은 산화 흐름 스트림의 부피의 1 % 보다 크게 나타날 수도 있다. 다른 바람직한 실시형태에서, NxOy 종 가스에 추가된 옥시던트 가스는 오존/산소 (03/02) 혼합물이고, 오존은 03/02 혼합물의 약 12원자% 03 내지 약 18원자% 03 범위 내의 농도에 있다.
NxOy/산화제 단계 (130) 는 소정의 펄스 인터벌 동안 계속되고, 이것의 사이클는 대략 50 밀리초 내지 10 초와 같은 임의의 적합한 시간 범위일 수도 있고, 다른 실시형태에서 제 1 산화 펄스 인터벌은 50 밀리초 내지 2 초의 범위이다. NxOy 가스 또는 NxOy/옥시던트 가스는 그 후, 퍼지 가스의 유입에 의해 또는 배기 또는 펌핑에 의해 반응 챔버로부터 퍼지된다 (140). 퍼지 시간은 대략 3-10 초와 같은 임의의 적합한 시간이도록 선택될 수도 있고, 몇몇 실시형태에서 대략 500 밀리초일 수도 있다.
일단 NxOy 종 가스 또는 NxOy/옥시던트 가스가 반응 챔버로부터 퍼지되었으면, 도 1 의 공정 (100) 은 계속되고, 여기서 시퀀스를 반복할지의 (160) 여부가 결정된다 (150). 이러한 결정은 임의의 원하는 기준에 기초하여 이루어질 수도 있다. 예를 들어, 증착된 물질의 특정 농도, 두께, 및/또는 균일도를 달성하기 위해 필요한 전구체 가스 펄스 시퀀스들의 수에 기초할 수도 있다. 결정은 또한, 원하는 비율의 전구체들의 NxOy 펄스 단계 전에 복수의 전구체/퍼지 단계들을 통합하는 다른 실시형태의 경우에서, 특히 3 원 금속 산화물과 같은 원하는 기판을 획득하기 위해 NxOy 종들에 대한 노출 전에 다수의 상이한 전구체들이 기판에 적용되는 실시형태에서 이루어질 수도 있다. 예를 들어, 임의의 순서로, 란타늄-함유 전구체는 하나의 전구체 펄스에서 이용될 수 있고, 하프늄-함유 전구체는 NxOy 펄스 단계 후에 HfLaO 산화물층을 생성하는 다른 전구체 펄스에서 이용될 수도 있다. 공정 (100) 은, 소정의 기준이 만족될 때까지 반복되고 (160), 그 결과 공정이 종료된다 (155).
도 2 는 소정의 압력, 온도, 및 환경 컨디션 하에서 기판 (미도시) 을 유지하기 위한, 그리고 각종 가스들에 기판을 선택적으로 노출하기 위한 메커니즘을 더 포함하는 반응 챔버를 포함하는 박막 처리 시스템 (200) 의 예시적인 실시형태를 개략적으로 나타낸다. 전구체 반응물 소스 (220) 는 도관 또는 다른 적합한 수단 (220A) 에 의해 반응 챔버에 커플링되고, 매니폴드 (manifold), 밸브 제어 시스템, 질량 흐름 제어 시스템, 또는 다른 메커니즘에 또한 커플링되어 전구체 반응물 소스 (220) 로부터 기원하는 가스 전구체를 제어할 수도 있다. 전구체 반응물 소스 (220) 에 의해 공급된 전구체 (미도시) 는 상온 및 표준 기압 컨디션 하에서 액체 또는 고체일 수도 있다. 이러한 전구체는 반응물 소스 진공 용기 내에서 증발될 수도 있고, 전구체 소스 챔버 내의 증발 온도에서 유지되거나 온도 위에서 유지될 수도 있다. 이러한 실시형태에서, 증발된 전구체는 캐리어 가스 (예를 들어, 비활성 또는 불활성 가스) 와 함께 이송될 수도 있고, 그 후 도관 (220A) 을 통해 반응 챔버 (210) 안으로 공급된다. 다른 실시형태에서, 전구체는 표준 컨디션 하에서 증기일 수도 있다. 이러한 실시형태에서, 전구체는 증발될 필요가 없고, 캐리어 가스를 필요로 하지 않을 수도 있다. 예를 들어, 일 실시형태에서, 전구체는 가스 실린더 내에 저장될 수도 있다.
퍼지 가스 소스 (230) 는 또한, 반응 챔버 (210) 에 커플링되고, 반응 챔버로부터의 전구체 가스들, 산화제 가스들, NxOy 종 가스들 또는 낭비 가스들의 제거를 돕기 위해 반응 챔버 (210) 로 각종 불활성 가스 또는 비활성 가스를 선택적으로 공급한다. 공급될 수도 있는 각종 불활성 가스 또는 비활성 가스는 고체, 액체, 또는 저장된 가스 형태로부터 기원할 수도 있다. 산화제/NxOy 종 소스 (240) 는 반응 챔버 (210) 에 커플링되고 (240A), 다시 도관 또는 다른 적합한 수단들 (220A) 을 통해 반응 챔버에 커플링되며, 또한 전구체 반응물 소스 (220) 로부터 기원하는 가스 산화제/NxOy 종 가스를 제어하기 위해 매니폴드, 밸브 제어 시스템, 질량 흐름 제어 시스템, 또는 다른 메커니즘에 커플링될 수도 있다.
산화제/NxOy 종 소스 (240) 는 종래의 오존 생성기, 직접 또는 원격 플라즈마 생성기 등을 포함하는 임의의 원하는 공급가스들 및 임의의 원하는 메커니즘을 통해 오존 및 NxOy 종들을 생성한다. 도 4 는 본 발명의 산화제/NxOy 종 소스 (240) 의 일 실시형태를 나타내고, 여기서 NxOy 종들을 포함하는 출력 스트림 (240A) 은, 생성기 (430) 에 커플링된 (420) 산화제 소스 (410) 로부터의 O2 와 같은 산화제 및 생성기 (430) 에 커플링된 (440) 질소 소스 (430) 가 공급되고, N2, N20, NO, NH3 또는 임의의 질소-베어링 분자를 공급하는 생성기 (430) 로부터의 플라즈마 방전에 의해 생성된다. 생성기 (430) 는 DBD 생성기와 같은 오존 생성기, 또는 유도적으로 결합된 ECR (electron cyclotron resonance) 과 같은 임의의 원격 또는 직접 플라즈마 활성화 방법 또는 용량성 결합된 방법을 이용하는 생성기를 더 포함할 수도 있다.
다른 실시형태들 (미도시) 에서, NxOy 종들은 NO 또는 N20 와 같은 질소-산소 가스를 추가의 산화제 없이 생성기 (430) 내의 코로나 방전으로 공급함으로써 생성된다. 추가의 N2 가 질소-산소 가스들과 함께 생성기 (430) 에 제공될 수도 있다. 또 다른 실시형태에서, N2 + 02 의 화학량적 양이 생성기 (430) 에 제공되어 NxOy* (예를 들어, NO 라디칼) 를 생성한다.
생성기 (430) 에 의해 생성되는 NxOy 종들 및 산화제의 양, 조성, 및/또는 농도를 모니터링하기 위해 센서 (450) 가 이용될 수도 있다. 센서 (450) 는 임의의 적합한 하드웨어, 메커니즘, 또는 소프트웨어를 포함하여 원하는 NxOy 라디칼 또는 이온 종들 및/또는 산화제의 존재를 검출할 수도 있고, 각종 실시형태에서 푸리에 변환 적외선 분광 분석기, UV 흡수 센서, 밀도 센서, 도전성/유전율 센서, 화학발광 센서, 가스 크래마토그래픽 센서를 포함하는 센서를 포함할 수도 있다. 센서 (450) 는 NxOy 종 생성기 제어 (460) 에 또한 커플링될 수도 있고, 이는 각종 유저 또는 자동화된 입력들 (470) 을 통해 생성기 (430), 산화제 소스 (410), 질소 소스 (430), 및 선택적 캐리어 가스 소스 (미도시) 를 구성하여 원하는 조성 및 부피의 NxOy 종들 및 출력 스트림 (240A) 내의 다른 가스들을 생성한다. 몇몇 실시형태에서 이러한 다른 가스들은 원하는 비율로 02/03 와 같은 산화제들 또는 다른 가스들을 포함할 수도 있다. 예를 들어, 비제한의 방식으로, 생성기 제어 (460) 는 생성기 (430) 에 대한 전력 입력 (미도시) 을 변조하여 가스 출력 스트림 (240A) 내의 활성화된 이온 또는 자유 라디칼 N-O 화합물의 유형의 조성을 변경할 수도 있다. 생성기 (430) 및/또는 그 출력 스트림 (240A) 에 커플링되는 센서 (450) 에 의해서, 그리고 출력 스트림 (240A) 의 조성 및 부피에서의 변화를 나타내는 센서 (450) 로부터의 신호를 수신하도록 구성되는 제어 (460) 에 의해, 폐-루프 제어는, NxOy 종들을 포함하는 원하는 출력 가스 조성을 달성하기 위해 생성기 (430) 에 대한 전력 및/또는 주파수 입력을 제어하는 것에 추가하여 질소 소스 가스, 산화제 소스 가스, 캐리어 가스, 또는 다른 가스의 흐름을 제어하도록 전기적으로 또는 공기로 제어된 밸브를 동작시키기 위해 소프트웨어 및/또는 전자 하드웨어에 의해 구현될 수 있다.
또한, 도 2 는 밸브들, 매니폴드들, 펌프들, 및 시스템 (200) 에 포함된 다른 장비를 선택적으로 동작시키기 위한 전자 회로 및 기계적 컴포넌트들을 제공하는 시스템 동작 및 제어 메커니즘 (260) 을 예시한다. 이러한 회로 및 컴포넌트들은 각각의 전구체 소스들 (220), 퍼지 가스 소스 (230), 및 산화제/NxOy 소스로부터의 전구체들, 퍼지 가스들, 산화제들/NxOy 종을 반응 챔버 (210) 에 도입하도록 동작한다. 또한, 시스템 동작 및 제어 메커니즘 (260) 은 가스 펄스 시퀀스들의 타이밍, 기판 및 반응 챔버의 온도, 및 반응 챔버의 압력 및 시스템 (200) 의 적절한 동작을 제공하는데 필요한 다양한 다른 동작들을 제어한다. 동작 및 제어 메커니즘 (260) 은 전구체들, 반응물들, 산화제들, NxOy 종, 및 퍼지 가스들의 반응 챔버 (210) 내외로의 흐름을 제어하기 위한 제어 소프트웨어 및 전기 제어식 또는 공압 제어식 밸브들을 포함할 수 있다. ALD 반응기들에 특히 적합한 하나의 실시형태에서, 동작 및 제어 메커니즘 (260) 은 처리 가스의 반응 챔버 (210) 로의 흐름을 또한 제어하여, 이를테면 반응 공간의 내측 표면상에 보호층을 형성함으로써 ALD 반응들에 대하여 표면을 비활성화시킨다. 표면의 비활성화 후에, 제어 시스템은 실리콘 웨이퍼들과 같은 기판(들) 을 챔버 (210) 내로 적재하고, 전구체, 산화제, NxOy 종, 및/또는 퍼지 가스들을 챔버 (210) 내로 흐르게 하여 기판 위에 증착물을 형성한다. 제어 시스템은 특정 작업들을 수행하는 소프트웨어 및 하드웨어 컴포넌트, 예를 들어, FPGA 또는 ASIC 와 같은 모듈들을 포함할 수 있다. 모듈은 유리하게 제어 시스템의 어드레싱가능한 저장 매체 상에 상주하도록 구성될 수 있고 하나 이상의 공정들을 실행하도록 구성될 수 있다.
당업자들은 상이한 수 및 종류의 전구체 반응물 소스들, 퍼지 가스 소스들, 및/또는 산화제/NxOy 소스들을 포함하여 본 시스템의 다른 구성이 가능하다는 것을 인식한다. 또한, 당업자들은 또한 가스들을 반응기 반응 챔버 (210) 내로 선택적으로 공급하는 목적을 달성하는데 사용될 수도 있는 밸브들, 도관들, 전구체 소스들, 퍼지 가스 소스들, 캐리어 가스 소스들, 및/또는 산화제 소스들의 많은 장치들이 존재한다는 것을 인식할 것이다. 또한, 박막 처리 시스템의 개략적 표현으로서, 많은 컴포넌트들이 예시의 단순화를 위해 생략되었고, 이러한 컴포넌트들은 예를 들어, 다양한 밸브들, 매니폴드들, 퍼지기들, 히터들, 컨테이너들, 벤트들 (vents), 및/또는 바이패스들을 포함할 수도 있다.
도 3a 는 처리 시스템 (200) 의 다른 개략적 구현을 도시하고 있으며, 여기서 산화제/반응물 소스 (340) 는 NxOy 종 소스 (360) 로부터 분리된 반응 챔버 (210) 에 커플링되어 있고 (340A), 그 NxOy 종 소스 (360) 도 또한 반응 챔버에 커플링되어 있다 (360A). 이 구성을 통해, 시스템 동작 및 제어 메커니즘 (260) 은 NxOy 종-함유의 가스들을 반응 챔버 (210) 에 도입하는 것과 독립적으로 산화제 반응물 소스 (340) 로부터의 산화제 또는 다른 반응물들을 도입할 수도 있다. 이 구성을 통해, 산화제들, NxOy 종-함유의 가스들, 또는 그 양자의 결합물의 독립적 가스 펄스들을 반응 챔버에 인가하는 것이 가능하여 특정층의 증착 결과를 달성할 수도 있다. 하나의 예시적인 구현에서, 산화제 및 NxOy 종-함유의 가스들의 교호 펄스들이 인가되어, 반응 챔버 (210) 내의 기판상에 증착된 금속 산화막들의 향상된 균일도 및 성장 속도를 획득할 수도 있다.
도 3b 는 처리 시스템 (200) 의 또 다른 개략적 구현을 도시하고 있으며, 여기서 산화제/반응물 소스 (340) 는 NxOy 종 소스 (390) 로부터 분리된 반응 챔버 (210) 에 커플링되어 있고 (340A), 그 NxOy 종 소스 (390) 도 또한 반응 챔버 (210) 에 내에 통합되어 있다. 산소 또는 질소-함유의 가스들과 같은 다양한 소스 공급가스들을, NxOy 종 소스 (390), 또는 NxOy 종-함유의 가스들을 반응 챔버 (210) 내에 위치된 기판으로 릴레이하는 그 NxOy 종 소스 (390) 의 출력 접속부에 공급하는 도관들 및 커플링들은 도시되어 있지 않다. 도 3a 와 관련하여 도시된 시스템 (200) 의 예시와 유사하게, 시스템 동작 및 제어 메커니즘 (260) 은 NxOy 종-함유의 가스들을 반응 챔버 (210) 에 도입하는 것과 독립적으로 산화제/반응물 소스 (340) 로부터의 산화제 또는 다른 반응물들을 도입할 수도 있다. 또한, 이 구성을 통해, 산화제들, NxOy 종-함유의 가스들, 또는 그 양자의 결합물의 독립적 가스 펄스들을 반응 챔버에 인가하는 것이 가능하여 특정층의 증착 결과를 달성할 수도 있다. 하나의 예시적인 구현에서, 산화제 및 NxOy 종-함유의 가스들의 교호 펄스들이 인가되어, 반응 챔버 (210) 내의 기판상에 증착된 금속 산화막들의 향상된 균일도 및 성장 속도를 획득할 수도 있다.
도 6 은 ALD 증착된 게이트 절연층을 포함하는 유전체층 (620) 을 형성하기 위해 본 발명의 방법의 일 실시형태를 이용하여 제조된 단일의 금속 산화물 (MOS) 트랜지스터 (600) 를 예시한다. 본 발명의 방법들 및 시스템들을 통해 증착된 Hf02, Zr02, La203 및 Ta205, HfLaO, 및 HfZrO 와 같은 하이-k 유전체들의 사용은 종래의 실리콘 산화물 유형의 유전체들과 비교해서 누설 전류들 및 다른 특성들이 향상된 더욱 소형화된 트랜지스터들을 제조하는데 제공한다. 증착을 위해 기판 (605), 통상적으로는 실리콘 또는 실리콘-함유 재료가 준비된다. 그러나, 기판 유형들과 관련하여 상술한 바와 같이, 게르마늄, 갈륨 비소, 및 실리콘-온-사파이어 기판들과 같은 다른 반도체 재료들이 또한 사용될 수도 있다. 게이트 유전체 (620) 가 증착하기 전에, 트랜지스터의 기판 (605) 내의 다양한 층들이 형성되고, 트랜지스터 (600) 의 드레인 확산 (610) 및 소스 확산 (615) 과 같은 기판의 다양한 영역들이 준비된다. 기판 (605) 은 통상적으로, 그것의 자연 산화물의 고립된 초기 기판을 제공하도록 세정된다. 또한, 기판은 화학 흡착의 비율을 개선하기 위하여 말단을 수소 처리한 표면 (hydrogen-terminated surface) 을 제공하도록 세정될 수도 있다. 처리된 트랜지스터의 영역들의 형성의 시퀀싱은, 당업자들에게 공지된 바와 같이, MOS 트랜지스터의 제조에서 일반적으로 수행되는 종래의 시퀀싱에 후속할 수도 있다.
다양한 실시형태들에서, 소스와 드레인 확산 영역 (615 와 610) 사이에서 기판 (605) 상의 영역을 커버하는 유전체 (620) 는 본 발명의 도 1 에 따라 설명된 ALD 공정에 의해 증착되고, NxOy 종-베어링 가스들에 대한 적어도 부분적인 노출을 통해 증착된 분자비로 금속 산화물의 층을 포함한다. 도시된 단일의 유전체층 (620) 은 단지 하나의 실시형태일 뿐이고, 다른 실시형태들에서 박막 금속 산화물들 또는 본 발명의 실시형태들에 따라 증착된 다른 적합한 유전체들 또는 배리어 재료들의 추가의 층들을 또한 포함할 수도 있다.
트랜지스터 (600) 는 게이트 유전체 (620) 위에 단일의 게이트 전극 (625) 을 형성하는 도전성 재료를 갖는다. 통상적으로, 금속 게이트가 다른 공정에서 형성될 수도 있지만, 그 게이트 (625) 를 형성하는 것은 폴리실리콘층을 형성하는 것을 포함할 수도 있다. 기판 (605), 소스 및 드레인 영역들 (615, 610), 및 게이트 (625) 를 제조하는 것은 당업자들에게 공지된 표준 공정들 또는 본 발명의 실시형태들에 의해 향상된 이 공정들을 이용함으로써 수행된다. 추가로, 트랜지스터를 형성하기 위한 공정의 다양한 엘리먼트들의 시퀀싱은, 당업자들에게 또한 공지된 바와 같이, 표준 제조 공정들로 수행된다.
예시된 실시형태에서, 유전체층 (620) 은 제 1 층이고 기판 (605) 과 직접 접촉하는 것으로 도시되어 있으나, 본 발명은 이에 제한되지 않는다. 다양한 실시형태들에서, 금속 오염이 디바이스의 전기적 속성들에 영향을 미치는 것을 방지하기 위하여 확산 배리어 층이 유전체층 (620) 과 기판 (605) 사이에 삽입될 수도 있다. 도 6 에 도시된 트랜지스터 (600) 는 단일의 게이트 전극 (625) 을 형성하는 도전성 재료를 갖지만, 게이트 유전체는 도 7 에 도시된 바와 같이 플래시 메모리와 같은 플로팅 게이트 디바이스에 또한 사용될 수도 있다.
도 7 은 본 발명의 하나의 실시형태에 따라 제조된 단일의 메모리 셀 (700) 을 예시한다. 이 실시형태에서, 메모리 셀 (700) 은 FLASH 또는 다른 메모리 디바이스들에 사용하기에 적절한 플로팅 게이트 메모리 셀이다. 도 6 에 도시된 트랜지스터 (600) 와 유사하게, 메모리 셀 (700) 은 소스 영역 (715) 과 드레인 영역 (710) 이 형성된 기판 (705) (통상적으로 실리콘이지만 여기에 설명된 다른 기판들일 수도 있다) 을 포함한다. 통상적으로, 메모리 셀 (700) 은 또한 (터널층이라고 지칭될 수도 있는) 제 1 유전체층 (720), (폴리실리콘과 같은 도전성 재료로 형성된) 저장 엘리먼트 또는 플로팅 게이트 (725), 제 2 유전체층 (725), 및 (폴리실리콘과 같은 도전성 재료로 또한 형성된) 제어 게이트 (735) 를 포함한다.
도 6 과 관련하여 설명된 트랜지스터 (600) 와 유사하게, 메모리 셀 (700) 은 본 발명의 방법의 일 실시형태로 제조되어 유전체층들 (720, 730) 중 어느 하나 또는 양자 모두를 형성한다. 본 발명에 따른 방법들에 의해 형성된 ALD 증착된 금속 산화물 게이트 절연층을 이용함으로써 유전체층들 (720, 730) 이 전부 또는 부분적으로 제조될 수도 있다. 증착을 위해 기판 (705), 통상적으로는 실리콘 또는 실리콘-함유 재료가 준비된다. 그러나, 기판 유형들과 관련하여 상술한 바와 같이, 게르마늄, 갈륨 비소, 및 실리콘-온-사파이어 기판들과 같은 다른 반도체 재료들이 또한 사용될 수도 있다. 유전체 (720) 를 증착하기 전에, 트랜지스터의 기판 (705) 내의 다양한 층들이 형성되고, 메모리 셀 (700) 의 드레인 확산 (710) 및 소스 확산 (715) 과 같은 기판의 다양한 영역들이 준비된다. 기판 (705) 은 통상적으로, 그것의 자연 산화물의 고립된 초기 기판을 제공하도록 세정된다. 또한, 기판은 화학 흡착의 비율을 개선하기 위하여 말단을 수소 처리한 표면을 제공하도록 세정될 수도 있다. 처리된 트랜지스터의 영역들의 형성의 시퀀싱은, 당업자들에게 공지된 바와 같이, MOS 트랜지스터의 제조에서 일반적으로 수행되는 종래의 시퀀싱에 후속할 수도 있다.
다양한 실시형태들에서, 소스와 드레인 확산 영역 (715 와 710) 사이에서 기판 (705) 상의 영역을 커버하는 유전체 (720) 는 본 발명의 도 1 에 따라 설명된 ALD 공정에 의해 증착되고, NxOy 종-베어링 가스들에 대한 적어도 부분적인 노출을 통해 증착된 금속 산화물의 층을 포함한다. 도시된 단일의 유전체층들 (720, 730) 은 다른 실시형태들에서 금속 산화물들 또는 다른 적합한 유전체들 또는 배리어 재료들의 추가의 층들을 또한 포함할 수도 있다.
메모리 셀 (700) 은 유전체 (720) 위의 영역에 제어 게이트 전극 (735) 및 플로팅 게이트 (725) 를 형성하는 도전성 재료를 갖는다. 통상적으로, 금속 게이트들이 다른 공정에서 형성될 수도 있지만, 게이트들 (725, 735) 을 형성하는 것은 폴리실리콘층들을 형성하는 것을 포함할 수도 있다. 기판 (705), 소스 및 드레인 영역들 (715, 710), 및 게이트 (725, 735) 를 제조하는 공정은 당업자들에게 공지된 표준 공정들을 이용함으로써 수행된다. 추가로, 메모리 셀을 형성하기 위한 공정의 다양한 엘리먼트들의 시퀀싱은 표준 제조 공정들로 수행되고, 그 표준 제조 공정들은 당업자들에게 또한 공지되어 있다.
예시된 실시형태에서, 유전체층들 (720, 730) 은 기판 (705), 플로팅 게이트 (725), 및 제어 게이트 (735) 와 직접 접촉하는 것으로 도시되어 있다. 다른 실시형태들에서, 금속 오염이 메모리 셀 (700) 의 전기적 속성들에 영향을 미치는 것을 방지하기 위하여 확산 배리어 층들이 유전체층들 (720, 730) 및/또는 기판 (705), 플로팅 게이트 (725), 및 제어 게이트 (735) 사이에 삽입될 수도 있다.
본 발명에 따른 금속 산화물 유전체층들을 형성하는 방법들의 실시형태들은 또한 다양한 집적 회로들, 메모리 디바이스들, 및 전자 시스템들에서의 커패시터들을 제조하기 위한 방법들에 적용될 수도 있다. 커패시터를 제조하기 위한 실시형태에서, 방법은 제 1 도전층을 형성하는 단계, 여기에 설명된 ALD 사이클의 실시형태들에 의해 제 1 도전층 상에 금속 산화물층을 포함하는 유전체층을 형성하는 단계, 및 유전체층 상에 제 2 도전층을 형성하는 단계를 포함한다. 금속 산화물 유전체의 ALD 형성은, 유전체층이, 원하는 유전체 상수 및/또는 다른 제어가능한 특성들을 제공하는 미리 결정된 조성 내에서 조작되도록 허용한다.
트랜지스터들, 커패시터들, 및 여기에 설명된 본 발명의 실시형태들에 의해 제조된 유전체층들을 갖는 다른 디바이스들과 같은 전자 컴포넌트들은 메모리 디바이스들, 프로세서들, 및 전자 시스템들 내에 구현될 수도 있다. 일반적으로, 도 8 에 도시된 바와 같이, 이러한 전자 컴포넌트들 (810) 은 정보 처리 디바이스들과 같은 시스템들 (820) 내에 통합될 수도 있다. 이러한 정보 처리 디바이스들은 무선 시스템들, 전자 통신 시스템들, 셀룰러 폰들 및 스마트 폰들과 같은 모바일 가입자 유닛들, 개인 휴대 정보 단말기들 (PDAs), 및 컴퓨터들을 포함할 수도 있다. 여기에 설명된 방법들을 이용한 원자층 증착에 의해 형성된 HfLaO 유전체층과 같은 유전체층을 갖는 컴포넌트들을 포함하는 컴퓨터의 일 실시형태가 도 9 에 도시되어 있고 후술된다. 메모리 디바이스들 및 컴퓨팅 디바이스들의 특정 유형들이 하기 도시되어 있지만, 정보 핸들링 디바이스들을 포함하는 메모리 디바이스들 및 전자 시스템들의 수개의 유형들이 본 청구물을 이용한다는 것을 당업자는 인지할 것이다.
도 9 에 도시된 바와 같이, 퍼스널 컴퓨터 (900) 는 스크린 또는 모니터 (910) 와 같은 출력 디바이스, 키패드 입력 디바이스 (905) 및 중앙 처리 유닛 (920) 을 포함할 수도 있다. 중앙 처리 유닛 (920) 은 프로세서 (935), 및 하나 이상의 메모리 디바이스들 (940) 을 프로세서 (935) 에 커플링한 메모리 버스 회로 (937) 를 이용한 회로 (925) 를 포함할 수도 있다. 또한, 퍼스널 컴퓨터 (900) 의 프로세서 (935) 및/또는 메모리 (940) 는 본 청구물의 실시형태에 따라 여기에 설명된 방법들을 이용한 원자층 증착에 의해 형성된 유전체층을 갖는 적어도 하나의 트랜지스터 또는 메모리 셀을 포함한다. 당업자들은 컴퓨터 (900) 에서의 다른 전자 컴포넌트들이, NxOy 종-베어링 가스들로의 적어도 부분적인 노출을 통해 형성된 것과 같이, 여기에 설명된 방법들을 이용한 원자층 증착에 의해 형성된 유전체층을 이용할 수도 있다는 것을 알고 있다. 이러한 컴포넌트들은 프로세서 칩 세트들, 비디오 제어기들, 메모리 제어기들, I/O 핸들러들, BIOS 메모리, FLASH 메모리, 오디오 및 비디오 프로세싱 칩들 등을 포함하는 집적 회로들의 많은 유형들을 포함할 수도 있다. 당업자들은 또한 개인용 디지털 보조기들 (PDAs) 및 셀 폰들 및 스마트 폰들과 같은 모바일 통신 디바이스들과 같은 다른 정보 핸들링 디바이스들이 본 발명의 실시형태들을 이용함으로써 형성되는 유전체층들을 통합할 수도 있다는 것을 인식한다.
본 발명의 바람직한 실시형태들이 설명되지만, 본 발명은 한정되지 않고 본 발명으로부터 벗어나지 않으면서 변형들이 이루어질 수도 있다는 것을 이해해야만 한다. 본 발명의 범위는 첨부된 청구범위에 의해 정의되고, 문자 그 자체로 또는 동등물에 의해 청구항의 의미에 포함되는 모든 디바이스, 프로세스, 및 방법들은 본 명세서에 포함되도록 의도된다.

Claims (23)

  1. 반응 챔버 내에 있는 기판상에 막을 증착하는 방법으로서,
    상기 방법은, 원자층 증착 사이클을 상기 기판에 적용하는 단계를 포함하고,
    상기 원자층 증착 사이클은,
    상기 기판을 전구체 펄스 인터벌 동안 전구체 가스에 노출시킨 후 상기 전구체 가스를 제거하는 단계; 및
    상기 기판을 산화 펄스 인터벌 동안 옥시던트 가스 및 질소-함유 종 가스를 포함하는 산화제에 노출시킨 후 상기 산화제를 제거하는 단계를 포함하는, 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 전구체 가스는 Sc, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb, Lu 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 희토류 금속을 포함하는, 막 증착 방법.
  3. 제 1 항에 있어서,
    상기 전구체 가스는 유기금속 화합물 및 금속 할라이드 (metal halide) 화합물 중 적어도 하나를 포함하는, 막 증착 방법.
  4. 제 1 항에 있어서,
    상기 전구체 가스는,
    하프늄 테트라클로라이드 (HfCl4);
    티타늄 테트라클로라이드 (TiCl4);
    탄탈륨 펜타클로라이드 (TaCl5);
    탄탈륨 펜타플루오라이드 (TaF5);
    지르코늄 테트라클로라이드 (ZrCl4);
    (La(THD)3) 및 (Y(THD)3) 를 포함하는 희토류 베타디케토네이트 (betadiketonate) 화합물들;
    La(iPrCp)3 를 포함하는 희토류 시클로펜타디에닐 (cyclopentadienyl; Cp) 화합물들;
    란타늄 트리스-포름아미디네이트 (lanthanum tris-formamidinate; La(FAMD)3) 를 포함하는 희토류 아미디네이트 화합물들;
    희토류 금속들을 포함하는 시클로옥타디에닐 (cyclooctadienyl) 화합물들;
    테트라키스-에틸-메틸아미노 하프늄 (TEMAHf), 테트라키스 (디에틸아미노) 하프늄 ((Et2N)4Hf 또는 TDEAH)), 및 테트라키스 (디메틸아미노) 하프늄 ((Me2N)4Hf 또는 TDMAH) 을 포함하는 알킬아미도 화합물들;
    알콕시드 (alkoxide);
    실리콘의 할라이드 화합물들;
    실리콘 테트라클로라이드;
    실리콘 테트라플루오라이드; 및
    실리콘 테트라요오드화물 (tetraiodide) 중 적어도 하나를 포함하는, 막 증착 방법.
  5. 제 1 항에 있어서,
    상기 옥시던트 가스는 상기 질소-함유 종 가스인, 막 증착 방법.
  6. 제 1 항에 있어서,
    상기 질소-함유 종 가스는 NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 종 또는 라디칼 종을 포함하는, 막 증착 방법.
  7. 제 1 항에 있어서,
    상기 옥시던트 가스는, 오존, 및 O, O2, NO, N2O, NO2, NO3, N2O5, NxOy 라디칼 종, NxOy 이온 종, 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 하나 이상의 가스를 포함하는, 막 증착 방법.
  8. 제 7 항에 있어서,
    상기 옥시던트 가스는 대략 5원자% 내지 25원자%의 O3 를 포함하는, 막 증착 방법.
  9. 제 7 항에 있어서,
    O3 는 O2 및 질소 소스 가스로부터 생성되고,
    상기 O2 및 상기 질소 소스 가스의 혼합물은 플라즈마 방전되는, 막 증착 방법.
  10. 제 9 항에 있어서,
    상기 질소 소스 가스는 N2, NO, N2O, NO2, NO3, 및 N2O5 중 적어도 하나인, 막 증착 방법.
  11. 제 1 항에 있어서,
    상기 질소-함유 종 가스는, 여기된 NxOy 라디칼 종, 여기된 NxOy 이온 종, 및 이들의 조합물들을 포함하는, 막 증착 방법.
  12. 제 1 항에 있어서,
    상기 옥시던트 가스는, O, O2, NO, N2O, NO2, NO3, N2O5, NOx, NxOy, 이들의 라디칼들, 및 O3 중 2 개 이상의 혼합물을 포함하며,
    상기 혼합물은 대략 5원자% 내지 25원자%의 O3 를 포함하는, 막 증착 방법.
  13. 제 10 항에 있어서,
    N2/O2 의 흐름 비율은 0.001 보다 큰, 막 증착 방법.
  14. 제 10 항에 있어서,
    상기 O2 및 상기 질소 소스 가스의 비율은,
    NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 종 또는 라디칼 종을 포함하는 질소-함유 종 가스의 양;
    NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 종 또는 라디칼 종을 포함하는 질소-함유 종 가스의 농도;
    상기 증착된 막의 성장 속도;
    상기 기판에 걸친 막 균일도;
    상기 증착된 막의 유전 상수;
    상기 증착된 막의 굴절률; 및
    상기 증착된 막의 분자 조성 중 적어도 하나를 결정하는, 막 증착 방법.
  15. 제 10 항에 있어서,
    전력 입력은 상기 플라즈마를 제어하고,
    상기 플라즈마에 전달되는 전력량은,
    NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 종 또는 라디칼 종을 포함하는 질소-함유 종 가스의 양;
    NO*, N2O*, NO2*, NO3*, 및 N2O5* 중 적어도 하나를 포함하는 활성화된 이온 종 또는 라디칼 종을 포함하는 질소-함유 종 가스의 농도;
    상기 증착된 막의 성장 속도;
    상기 기판에 걸친 막 균일도;
    상기 증착된 막의 유전 상수;
    상기 증착된 막의 굴절률; 및
    상기 증착된 막의 분자 조성 중 적어도 하나를 결정하는, 막 증착 방법.
  16. 제 10 항에 있어서,
    O2 및 질소 소스 가스를 플라즈마 방전에 노출시킴으로써 상기 산화제를 생성하는 단계;
    상기 플라즈마 방전에 의해 생성되는 O3 및 여기된 NxOy 종의 비율을 모니터링하는 단계; 및
    상기 플라즈마 방전으로의 전력 입력, 하우징의 온도, 상기 O2 의 흐름 속도, 및 상기 질소 소스 가스의 흐름 속도 중 적어도 하나를 조절하여 소정의 기준을 달성하는 단계를 더 포함하는, 막 증착 방법.
  17. 제 16 항에 있어서,
    상기 소정의 기준은,
    산화제 흐름 속도;
    옥시던트/NxOy 농도 비율;
    활성 NxOy 종 농도;
    활성 NxOy 종의 비율로서, 상기 여기된 NxOy 종 가스는 복수의 여기된 질소-산소 화합물들을 함유하는, 상기 활성 NxOy 종의 비율; 및
    특정 활성 질소-산소 화합물의 농도 중 적어도 하나를 포함하는, 막 증착 방법.
  18. 제 1 항에 있어서,
    상기 기판을 제 2 전구체 펄스 인터벌 동안 제 2 전구체 가스에 노출시킨 후 상기 제 2 전구체 가스를 제거하는 단계; 및
    상기 제 2 전구체 가스를 제거한 후에, 상기 기판을 산화 펄스 인터벌 동안 옥시던트 가스 및 질소-함유 종 가스를 포함하는 산화제에 노출시킨 후 상기 산화제를 제거하는 단계를 더 포함하는, 막 증착 방법.
  19. 금속 할라이드 전구체, 및 오존과 여기된 질소-산소 종을 포함하는 옥시던트를 이용하여 임의의 막 적층에 금속 산화물을 적어도 하나 증착하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서,
    상기 금속 산화물은, Al2O3, HfO2, ZrO2, La2O3 및 Ta2O5 중 적어도 하나를 포함하는, 방법.
  21. 제 19 항에 있어서,
    상기 금속 할라이드는 임의의 할라이드 원소와의 화합물 조합에 임의의 금속을 포함하는, 방법.
  22. 기판상에 막을 증착하는 방법으로서,
    상기 기판에 노출되는 활성 질소-산소 종의 양을 조절함으로써 상기 증착된 막의 증착의 균일도를 제어하는 단계를 포함하는, 막 증착 방법.
  23. 시스템으로서,
    반응 챔버;
    상기 반응기 챔버에 커플링된 전구체 반응물 소스;
    상기 반응기 챔버에 커플링된 퍼지 가스 소스;
    상기 반응기 챔버에 커플링된 옥시던트 소스;
    상기 반응기 챔버에 커플링된 여기된 질소 종 소스; 및
    시스템 동작 및 제어 메커니즘을 포함하고,
    상기 시스템은 제 1 항 내지 제 18 항 중 어느 한 항에 기재된 막 증착 방법의 단계들을 별도로 또는 조합하여 수행하도록 구성되는, 시스템.
KR1020177023740A 2009-08-14 2010-08-12 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법 KR20170100070A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US23401709P 2009-08-14 2009-08-14
US61/234,017 2009-08-14
US33260010P 2010-05-07 2010-05-07
US61/332,600 2010-05-07
US12/854,818 2010-08-11
US12/854,818 US8883270B2 (en) 2009-08-14 2010-08-11 Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
PCT/US2010/045368 WO2011019950A1 (en) 2009-08-14 2010-08-12 Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020127004062A Division KR102042281B1 (ko) 2009-08-14 2010-08-12 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법

Publications (1)

Publication Number Publication Date
KR20170100070A true KR20170100070A (ko) 2017-09-01

Family

ID=43383392

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177023740A KR20170100070A (ko) 2009-08-14 2010-08-12 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법
KR1020127004062A KR102042281B1 (ko) 2009-08-14 2010-08-12 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127004062A KR102042281B1 (ko) 2009-08-14 2010-08-12 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법

Country Status (5)

Country Link
US (1) US8883270B2 (ko)
KR (2) KR20170100070A (ko)
CN (1) CN102625861B (ko)
TW (1) TWI540221B (ko)
WO (1) WO2011019950A1 (ko)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8691985B2 (en) * 2011-07-22 2014-04-08 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
TWI551708B (zh) 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
KR101544329B1 (ko) * 2011-09-08 2015-08-12 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 플라즈마 발생 장치, cvd 장치 및 플라즈마 처리 입자 생성 장치
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) * 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
KR101727259B1 (ko) 2015-03-18 2017-04-17 연세대학교 산학협력단 산화물 박막 형성 방법 및 산화물 박막 형성 장치
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170073812A1 (en) * 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10170700B2 (en) * 2016-02-19 2019-01-01 Arm Ltd. Fabrication of correlated electron material devices method to control carbon
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6568127B2 (ja) * 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US648989A (en) * 1898-11-14 1900-05-08 La Verne W Noyes Water-supply regulator for windmills.
US2745640A (en) * 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) * 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) * 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) * 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4194536A (en) * 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4735259A (en) * 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) * 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) * 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) * 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) * 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) * 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
US5732744A (en) * 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (ja) * 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) * 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6645345B2 (en) 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) * 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
JP2001176952A (ja) * 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
CN100372076C (zh) * 2000-12-05 2008-02-27 东京毅力科创株式会社 被处理体的处理方法及处理装置
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20050020071A1 (en) * 2001-07-31 2005-01-27 Jun Sonobe Method and apparatus for cleaning and method and apparatus for etching
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100431658B1 (ko) * 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
DE20221269U1 (de) 2001-10-26 2005-12-08 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur Abscheidung atomarer Schichten
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
EP1540705A4 (en) 2002-07-19 2009-12-16 Entegris Inc LIQUID FLOW CONTROL AND PRECISION DELIVERY DEVICE AND SYSTEM
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7122085B2 (en) * 2002-07-30 2006-10-17 Asm America, Inc. Sublimation bed employing carrier gas guidance structures
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
EP1540259A2 (en) * 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
KR100800639B1 (ko) 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치
TWI338323B (en) * 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) * 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100765681B1 (ko) 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US20070054405A1 (en) * 2003-10-23 2007-03-08 Ortho-Clinical Diagnostics, Inc. Patient sample classification based upon low angle light scattering
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7329947B2 (en) * 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
US20090107404A1 (en) * 2004-07-30 2009-04-30 Katten Muchin Rosenman Llp Epitaxial reactor with susceptor controlled positioning
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101061578A (zh) * 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
JP2006135161A (ja) * 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TWI553703B (zh) 2004-11-18 2016-10-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
WO2006056091A1 (en) 2004-11-24 2006-06-01 Oc Oerlikon Balzers Ag Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4694878B2 (ja) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP4193883B2 (ja) * 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101522943B (zh) * 2006-10-10 2013-04-24 Asm美国公司 前体输送系统
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
JP4900110B2 (ja) * 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090085156A1 (en) * 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) * 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) * 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) * 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100025796A1 (en) * 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN103346116B (zh) 2008-10-07 2016-01-13 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US8405005B2 (en) 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8465791B2 (en) * 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) * 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) * 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) * 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9005539B2 (en) * 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) * 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) * 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) * 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
KR20120073201A (ko) 2012-07-04
TWI540221B (zh) 2016-07-01
CN102625861A (zh) 2012-08-01
TW201126009A (en) 2011-08-01
KR102042281B1 (ko) 2019-11-07
US20110070380A1 (en) 2011-03-24
US8883270B2 (en) 2014-11-11
CN102625861B (zh) 2014-12-10
WO2011019950A1 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
KR102042281B1 (ko) 여기된 질소-산소 종을 이용한 금속 산화물의 박막 증착을 위한 시스템 및 방법
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
US8093666B2 (en) Lanthanide yttrium aluminum oxide dielectric films
KR101366541B1 (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US7651961B2 (en) Method for forming strained silicon nitride films and a device containing such films
US7662729B2 (en) Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
EP2006888A9 (en) Method and apparatus for growing plasma atomic layer
WO2013163343A1 (en) Methods of fabricating dielectric films from metal amidinate precursors
US9224594B2 (en) Surface preparation with remote plasma
KR20090038924A (ko) 지르코늄 치환된 티탄산바륨 게이트 유전체
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US7713868B2 (en) Strained metal nitride films and method of forming
US8178446B2 (en) Strained metal nitride films and method of forming
TWI621218B (zh) 包含鍺之半導體元件及其形成方法
TW202249067A (zh) 用於形成包含釩及氮的層之方法及系統

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application