JP4534619B2 - 半導体シリコン基板用熱処理治具 - Google Patents

半導体シリコン基板用熱処理治具 Download PDF

Info

Publication number
JP4534619B2
JP4534619B2 JP2004182160A JP2004182160A JP4534619B2 JP 4534619 B2 JP4534619 B2 JP 4534619B2 JP 2004182160 A JP2004182160 A JP 2004182160A JP 2004182160 A JP2004182160 A JP 2004182160A JP 4534619 B2 JP4534619 B2 JP 4534619B2
Authority
JP
Japan
Prior art keywords
heat treatment
jig
silicon substrate
semiconductor silicon
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2004182160A
Other languages
English (en)
Other versions
JP2006005274A (ja
Inventor
尚志 足立
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumco Corp
Original Assignee
Sumco Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumco Corp filed Critical Sumco Corp
Priority to JP2004182160A priority Critical patent/JP4534619B2/ja
Priority to US11/039,968 priority patent/US7210925B2/en
Priority to CNB2005800195668A priority patent/CN100543936C/zh
Priority to EP05750967A priority patent/EP1780774B1/en
Priority to PCT/JP2005/011137 priority patent/WO2005124839A1/ja
Priority to TW094120482A priority patent/TW200605292A/zh
Publication of JP2006005274A publication Critical patent/JP2006005274A/ja
Application granted granted Critical
Publication of JP4534619B2 publication Critical patent/JP4534619B2/ja
Anticipated expiration legal-status Critical
Active legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67306Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by a material, a roughness, a coating or the like
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0037Supports specially adapted for semi-conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • H01L21/67323Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls characterized by a material, a roughness, a coating or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、縦型熱処理炉の熱処理ボートに用いられる熱処理治具に関し、さらに詳しくは、半導体シリコン基板を高温で熱処理する際に結晶欠陥として発生するスリップを低減するとともに、さらに高温の酸化雰囲気中で熱処理する際に、保持された前記シリコン基板裏面に発生する熱酸化膜の成長抑制に起因する表面段差を防止することができる半導体シリコン基板用熱処理治具に関するものである。
LSIデバイス製造プロセスで処理される半導体シリコン基板は、酸化、拡散および成膜等の工程において、高温の熱処理を繰り返して製造される。この半導体シリコン基板の熱処理に際し、縦型熱処理炉は設置スペースを小さくでき、大口径の半導体シリコン基板を多量に熱処理するのに適していることから、半導体シリコン基板の各種熱処理に用いられる装置として採用されている。
図1は、縦型熱処理炉に用いられる半導体シリコン基板用の熱処理ボートの構成例を示す図である。熱処理ボート1は、3本以上の支柱3とこの支柱3を上下位置で固定する上部天板5、および下部天板6から構成されており、開口部2が設けられている。前記支柱3には基板支持部4が列設され、開口部2側から半導体シリコン基板を基板支持部4に載置した後、縦型熱処理炉に挿入されて所定の熱処理が行われる。
図1に示すように、熱処理ボート1は、離間配置された上下一対の天板5、6と、これらを連結する複数本の支柱3とで構成されるため、半導体シリコン基板を基板支持部4に載置し、または取り出しするための開口部2を設けることが必要である。したがって、通常、開口部2側に設けられる2本の支柱3は、半導体シリコン基板の載置または取り出しを容易にするため、半導体シリコン基板の直径相当程度に離間して配設される。
上記縦型熱処理炉に半導体シリコン基板を挿入し1100℃以上の高温熱処理を行う場合に、半導体シリコン基板の面内に不均一な温度分布が生じると、それにともなって半導体シリコン基板に熱応力が発生する。また、半導体シリコン基板の支持方法によっては、シリコン基板の重量に起因して発生する応力(以下、単に「自重応力」という)が発生することがある。
従来の熱処理用ボートでは、シリコン基板の外周部のみを支持する方式である場合、直径300mmの半導体シリコン基板を熱処理すると自重応力の影響が著しく、使用することが困難になる。
上記の熱応力や自重応力は、熱処理において半導体シリコン基板中にスリップと呼ばれる結晶欠陥を引き起こすことが分かっている。スリップはLSIデバイスのリーク電流の増加や半導体シリコン基板平坦性の劣化の原因になるため、従来から、半導体シリコン基板の品質管理の観点から、これらの熱応力や自重応力を抑制する提案がなされている。
例えば、特許文献1および特許文献2などでは、シリコン基板の裏面全体を平板で支持する円板構造の熱処理治具が開示されている。しかし、円板構造の熱処理治具によれば、被熱処理材のシリコン基板と熱処理治具とが面接触する領域において局所的な温度差が生じ易く、熱応力に起因してスリップが発生することがある。
この円板構造の熱処理治具による問題を解消するため、リング構造を具備する熱処理治具が多く提案されるようになり、その代表例として特許文献3では、シリコン基板裏面の外周部領域にリング状トレーを備えた熱処理治具で保持することが開示されている。ところが、本発明者らの検討によれば、このリング状トレーを備えた熱処理治具では、自重応力の発生にともなってシリコン基板中央部に撓みが生じ、リング状トレーの内周端面と接触することによりシリコン基板に表面疵が発生し、これを起点としてスリップが発生することが確認された。
同様に、上記問題の対策として、特許文献4では、シリコン基板に発生する自重応力を防止するため、シリコン基板裏面をリング形状に突出した第1次リングとその外側に同心状に突出して形成された第2次リングとで保持する熱処理方法が提案されている。しかし、同じく本発明者らの検討によれば、2重に形成された第1次、および第2次リングにおける接触面の平坦度が規定されていないため、シリコン基板を均一に保持することができず、スリップの発生を十分に防止することができなかった。
特許文献5には、接触面に関して平坦度および表面粗さを規定し、その形状がシリコン基板保持面に同心円状に形成された複数の貫通孔を有し、シリコン基板保持面での貫通孔の総面積を規定したリング形状(馬蹄形状を含む)の熱処理用治具が開示されている。そして、同文献では、表面粗さRaを0.1〜0.7μmとし、平坦度を50μm以下とするのが望ましいとしている。
しかしながら、直径300mmの半導体シリコン基板を対象とする熱処理用治具を想定する場合に、そのシリコン基板を保持する領域を全て平坦度50μm以下に確保しようとすると、高精度の加工が必要となり、製造コストを増大させるだけでなく、加工精度の面で現実的な製造条件とすることができない。
特開平10−242067号公報
特開2003−100648号公報 特開平09−199438号公報 特開2001−060559号公報 特開2003−197722号公報
前述の通り、半導体シリコン基板を高温で熱処理する際に発生する熱応力や自重応力は、シリコン基板中にスリップを引き起こすことから、半導体シリコン基板の品質特性を確保するため、熱応力や自重応力の発生を有効に防止できる熱処理治具に関し、種々の対策が試みられている。しかし、従来から提案されている熱処理治具では、いずれもスリップの発生を防止するのに十分な対策となっていない。
さらに、半導体シリコン基板の高温熱処理にともなって、熱処理治具が起因する熱酸化膜に関する新たな課題が発生している。具体的には、縦型熱処理炉の熱処理ボートで熱処理治具を用いて酸化プロセスを実施する場合に、例えばSIMOX製造プロセスでは、高濃度の酸素雰囲気下で1300℃以上の高温で熱処理することが必要になる。
通常、このような高温酸化プロセス処理では、酸素雰囲気下の熱処理にともなって、シリコン基板の表裏面に熱酸化膜が厚さ0.5μmから1.0μmの範囲で成長する。しかし、シリコン基板の裏面であって熱処理治具と接触して保持される領域では、熱酸化膜の成長が抑制され、他の領域に比べると熱酸化膜の成長厚さが減少する。
このため、高温酸化プロセス処理後にシリコン基板表面の熱酸化膜を除去する処理を行うと、シリコン基板の裏面には、前記熱酸化膜の成長厚さの差異に基づいて表面段差が生じることになる。このシリコン基板裏面に生じた表面段差は、デバイス製造工程でのフォトリソ工程においてデフォーカスを生じ、デバイス歩留を大きく低下させることになる。
本発明は、上述の半導体シリコン基板の高温熱処理にともなう課題を解消するためになされたものであり、縦型熱処理炉の熱処理ボーに搭載された状態での熱処理治具の撓み変位量を制限するとともに、半導体シリコン基板の裏面と接触する領域での表面粗さを調整し種々の改善を加えることにより、有効にスリップの発生を低減させると同時に、基板裏面における熱酸化膜の成長差に起因する表面段差をなくすことができる熱処理治具を提供することを目的としている。
本発明者らは、上記の課題を解決するため、縦型熱処理炉の熱処理ボートに用いて各種の熱処理を行い、スリップの発生を有効に低減させ、シリコン基板の裏面における熱酸化膜の成長抑制を回避するため、熱処理治具が具備すべき条件について種々の検討を行った。
従来から、スリップの低減対策としては、加工後の熱処理治具の平坦度の管理が有効であり、半導体シリコン基板と接触する領域での平坦度(JIS B 0621)は、100μm以下、望ましくは50μm以下にする必要があるとされている。
ところが、本発明者らは、このような対策に拘泥されることなく、スリップの発生を有効に低減させるには、熱処理ボートに搭載された状態で、熱処理治具に生じている撓み変位量を管理する必要があることに着目した。
図2は、治具形状が熱処理治具に発生する撓み変位量に及ぼす影響を調査した結果を示す図である。供試した熱処理治具は、直径が320mm、厚さが2mmのシリコンカーバイド材料からなる平板構造およびリング構造の治具とし、前記図1に示す縦型熱処理炉に用いられる熱処理ボートに搭載した際に発生する撓み変位量を測定した。
図3は、供試した熱処理治具の形状および熱処理ボートに搭載した際の支持方式を示す図である。熱処理治具7の形状は中心孔の外径を0〜210mmの範囲で変化させた平板構造およびリング構造であり、治具7の外周4点を基板支持部4で支持した場合に、治具形状が発生する撓み変位量に及ぼす影響を調査した。治具の測定点は、熱処理ボートの開口部2における治具外周部(A部)および平板構造治具の中心部(B部)またはリング構造治具の内周部(B点)とした。
図2に示すように、熱処理治具に中心孔を設けない場合には、自重により治具の中央部(B部)に約30μmの撓みが生じており、ボート開口部に対応する外周部(A部)では撓みが最大となり、その変位量が約85μmであることが分かる。
次ぎに、熱処理治具に中心孔を設け、その外径を変化させた場合には、中心孔の外径が増大するのにともない、治具内周部(B点)および外周部(A部)での撓み変位量が増加する。例えば、中心孔の外径が210mmであるリング構造治具では、撓み変位量は治具内周部(B点)で約80μm、外周部(A部)で約105μmとなり、それぞれ50μmおよび20μm程度の増加を示している。
したがって、半導体シリコン基板の高温熱処理にともなって発生するスリップを有効に低減させるには、加工後の熱処理治具の平坦度の管理に替えて、縦型熱処理炉の熱処理ボートに搭載された状態での熱処理治具に発生する撓み変位量を管理する必要がある。
さらに、半導体シリコン基板の裏面における熱酸化膜の成長に関し、高温の酸化雰囲気の条件下で長時間に亘る熱処理を行う場合には、熱処理治具とシリコン基板との接触部では熱酸化膜の成長が抑制され、接触部の領域が広がるほど熱酸化膜の成長抑制が顕著になる。
シリコン基板に生じた表面段差がフォトリソ工程におけるデフォーカスに影響を及ぼすおそれがある。特に、SIMOX熱処理のような高温での長時間処理によって、厚い酸化膜を成長させる場合に問題が発生することがある。
これを達成するための本発明者らの検討によれば、例えば、リング構造の治具を用いて接触領域の幅が10mm程度であれば、表面粗さを1.0μm以上にすれば接触部の隙間から雰囲気ガスの進入が促され、高温の酸化熱処理後において接触する領域と他の領域での熱酸化膜厚さに差が生じないか、生じたとしてもその変化量は3%以下を満足することが明らかになった。
また、接触する領域の幅が10mmを超える場合であっても、接触表面をさらに粗くすることによって雰囲気ガスの侵入が容易になる。さらに、熱処理治具の接触する領域に一定の間隔でスリットや貫通孔を設けることが、雰囲気ガスを侵入させるのに効果的であることを知見した。
本発明は、上記の検討結果に基づいて完成されたものであり、下記(1)〜()の半導体シリコン基板用熱処理治具を要旨としている。
(1)半導体シリコン基板と接触し保持して、縦型熱処理炉の熱処理ボートに搭載される熱処理治具であって、その厚さが1.5mm以上、6.0mm以下で、前記熱処理ボートに搭載された際の前記半導体シリコン基板と接触する領域の撓み変位量を100μm以下とし、前記半導体シリコン基板と接触し保持する治具の外径が当該半導体シリコン基板の直径の65%以上で、かつ当該半導体シリコン基板の直径よりも小さく、治具形状が円板構造で、その中心部に座ぐりを設けており、前記半導体シリコン基板と接触する面の表面粗さ(Ra値)が1.0μm以上、100μm以下であることを特徴とする半導体シリコン基板用熱処理治具である。
(2)上記(1)の半導体シリコン基板用熱処理治具では、前記半導体シリコン基板と接触し保持する領域に凸部形状を構成するのが望ましい。
(3)上記(1)の半導体シリコン基板用熱処理治具では、さらに、熱酸化膜の成長抑制を回避するため、熱処理治具の表面に雰囲気ガスの進入が促進されるように、前記半導体シリコン基板と接触し保持する領域にスリットを所定の間隔で設け、または貫通孔を均等に配置することが望ましい。
(4)上記(1)の半導体シリコン基板用熱処理治具では、前記半導体シリコン基板と接触し保持する領域をシリコン材料若しくはシリコン材料にシリコンカーバイド膜を堆積した材料、またはシリコンカーバイド材料で構成するのが望ましい。
本発明の半導体シリコン基板用熱処理治具によれば、縦型熱処理炉の熱処理ボーに搭載された状態で熱処理治具に発生する撓み変位量を制限するとともに、半導体シリコン基板の裏面と接触する領域での表面粗さを規定することにより、スリップの発生を有効に低減させると同時に、基板裏面における熱酸化膜の成長抑制を回避し、デバイス製造でのフォトリソ工程でデフォーカスの原因となる表面段差をなくすことができる。これにより、半導体シリコン基板の品質特性を高度に維持することができるとともに、デバイス歩留まりを大幅に向上させることが可能になる。
本発明の半導体シリコン基板用熱処理治具では、半導体シリコン基板と接触し保持して、縦型熱処理炉の熱処理ボーに搭載される熱処理治具であって、その厚さが1.5mm以上、6.0mm以下で、前記熱処理ボートに搭載された際の撓み変位量を100μm以下とし、前記半導体シリコン基板と接触する面の表面粗さ(Ra値)が1.0μm以上、100μm以下とすることを特徴としている。
図4は、本発明の熱処理治具の構成例を説明する図であり、(a)は支柱3に支持される円板構造の治具7aを示し、(b)、(c)、(d)は支柱3に支持されるリング構造の治具7b、7c、7dを示している。
図4に示す熱処理治具では、シリコン基板の直径と同等以上の直径を具備する治具構造であり、特に円板構造の治具7aでは、熱処理ボートに搭載された際の撓み変位量を低減することができるが、シリコン基板8裏面とほぼ全面に接触して保持する構造であるため、比較的治具の熱容量が大きくなり、昇温・降温特性を低下させるので生産性を低下させるおそれがある。
この場合に、シリコン基板8の裏面と接触する領域を小さくする必要があれば、後述するように、その中心部に座ぐりを設けたり、またはその領域にシリコン基板と接触し保持する凸部形状を構成することができる。
一方、リング構造の治具7bでは、円板構造の治具7aに比べ治具の熱容量を少なくすることができる。さらに、リング構造の治具7cではシリコン基板8の裏面と接触する領域に突起構造を設け、リング構造の治具7dでは、リング構造の治具の上にリング幅の狭いリング部材9を設置する2重構造としている。リング構造として治具7c、7dの構造を採用することによって、さらにシリコン基板との接触温度差を低減することができる。
図5は、本発明の熱処理治具の他の構成例を説明する図であり、(a)および(c)は支柱3に支持される円板構造の治具7a、7eを示し、(b)および(d)は支柱3に支持されるリング構造の治具7b、7fを示している。
図5に示す熱処理治具では、シリコン基板の直径より小さい直径を具備する治具構造であり、図4に示す熱処理治具に比べ、熱容量を小さくできることから、熱処理での生産性では有利となる。さらにシリコン基板との接触温度差を低減するため、図5(c)に示す治具7eでは、円板構造の治具にシリコン基板8の裏面と接触する領域にリング幅の狭いリング部材9を設置する2重構造とし、図5(d)に示す治具7fでは、リング構造の治具の上にリング部材9を設ける2重構造としている。
図5に示す熱処理治具においても、後述するように、その中心部に座ぐりを設けたり、またはシリコン基板と接触する領域に凸部形状を構成したり、これらを組み合わせることができる。
本発明の熱処理治具では、その厚さを1.5mm以上、6mm以下と規定している。通常、熱処理治具の厚さと撓み変位量は反比例する関係にあり、その厚さを1.5mm以上にすることにより、熱処理治具の撓み変位量を所定の規定値以下に改善することができる。
一方、治具厚さが厚くなると、熱処理治具の熱容量が増大し、熱処理炉内での昇温・降温特性が低下したり、投入枚数が減少し生産性が低下するので、その厚さの上限を6mmとした。実操業では、熱処理炉内には数十枚の熱処理治具を搭載するため、その厚さは1.8mm以上、4.0mm以下にするのが望ましい。
さらに、本発明の熱処理治具では、熱処理ボートに搭載された際の撓み変位量を100μm以下と規定している。これは、前述した検討結果に基づくものであり、熱処理中に発生するスリップを有効に低減するには、加工後の熱処理治具における平坦度を管理するのに替えて、熱処理ボートに搭載された状態で熱処理治具に発生する撓み変位量を低減することが必要になることによる。
熱処理ボートに搭載された際の撓み変位量が100μmを超えると、熱処理治具と半導体シリコン基板との接触が点接触になり易く、シリコン基板の支持が不十分になるとともに、その接触点からシリコン基板の自重負荷によりスリップが発生する。このため、熱処理ボートに搭載された状態の熱処理治具は、その撓み変位量を100μm以下にする必要があり、さらに望ましくは、50μm以下にするのがよい。
本発明の熱処理治具では、半導体シリコン基板と接触する面の表面粗さを1.0μm以上、100μm以下とする。本発明で規定する表面粗さは、JIS B 0601に規定する中心線平均粗さ(Ra値)で表示する。
表面粗さが1.0μm未満になると、高温熱処理の終了後において、治具表面とシリコン基板とが接着し易くなるのに加え、前述の通り、熱処理治具と半導体シリコン基板とが接触する領域で雰囲気ガスを進入させることが困難になるからである。
このため、表面粗さが1.0μm未満である場合には、シリカ粒やシリコンカーバイド粒を用いたブラスト処理する方法、またはマシニング装置や旋盤機などを利用したツールマークを導入する方法により、表面をある程度粗くし、最終的に表面粗さ(Ra値)を1.0μm以上にする必要がある。
一方、マシニングや旋盤などにより治具表面の粗さが大きくすることが可能であるが、加工時の生産性や歩留まりの問題から、表面粗さ(Ra値)はその上限を100μmとした。
本発明の熱処理治具では、半導体シリコン基板と接触し保持する治具の外径を当該半導体シリコン基板の直径の65%以上とし、治具形状はリング構造または円板構造のいずれかである。このとき、治具外径の上限を当該半導体シリコン基板の直径の75%とするのが望ましい。また、本発明の治具構造としては、円板構造またはリング構造のいずれであっても、半導体シリコン基板を安定して支持できる構造であれば採用できる。
本発明の熱処理治具では、治具形状がリング構造である場合には、そのリング幅が0.5mm以上とするのが望ましい。前記図4、図5に示すように、リング構造で構成することによって、シリコン基板と接触する面の面積を、円板構造で構成するよりさらに小さくすることができるので、シリコン基板と熱処理治具との接触によるスリップを防止するのに有効である。しかし、そのリング幅を0.5mm未満になると、精度よく加工できないことから、リング幅を0.5mm以上とする。
本発明の熱処理治具では、治具形状が円板構造である場合には、その中心部に座ぐりを設け、または前記半導体シリコン基板と接触し保持する領域に凸部形状を構成するのが望ましい。座ぐりを設けたり、凸部形状を構成することにより、円板構造であっても熱容量の低減を図れるとともに、半導体シリコン基板と接触し保持する領域を適正な範囲に調整できるからである。
図6は、円板構造の熱処理治具に座ぐりを設けた構成例を示す図であり、(a)はシリコン基板より直径が大きい治具を用いる場合に治具の中央部に円筒状の座ぐり10を設けた構成であり、(b)はシリコン基板より直径が大きい治具を用いる場合に治具の中央部を球状の座ぐり10を設けた構成であり、(c)はシリコン基板より直径が小さい治具を用いる場合に治具の中央部に円筒状の座ぐり10を設けた構成であり、(d)はシリコン基板より直径が小さい治具を用いる場合に治具の中央部を球状の座ぐり10を設けた構成である。
いずれの座ぐり10も採用することができるが、座ぐり10が上面側に設ける場合には、座ぐり10の最大直径は当該シリコン基板の直径の65%程度にするのが望ましい。本発明の熱処理治具では、座ぐり10が上面側に設ける場合に限定されず、下面側に設ける場合でもよい。
図7は、円板構造およびリング構造の熱処理治具にシリコン基板と接触し保持する領域に凸部形状を構成する例を説明する図であり、(a)は熱処理治具の平面図を示し、(b)は円板構造の治具7aに半導体シリコン基板を搭載したX−X視野による正面断面図を示し、(c)はリング構造の治具7bに半導体シリコン基板を搭載したX−X視野による正面断面図を示している。図7に示す構成では、同心状に凸部11を設け、対象とする半導体シリコン基板8の直径の65%以上の範囲で基板裏面と接触し保持するようにできる。
凸部形状の配置は、図7に示す配置に限定されるものではなく、その他の配置も許容される。例えば、治具7aの中心から凸部11の直径を順次大きくしたり、大直径の凸部11の隙間に小直径の凸部11を設ける配置にしてもよい。
本発明の熱処理治具では、シリコン基板と接触し保持する領域で熱酸化膜の成長抑制を回避するため、熱処理治具の表面に雰囲気ガスの進入が促進されるように、シリコン基板と接触し保持する領域に一定間隔でスリットを設け、または領域の全面に均等に貫通孔を配置するのが望ましい。
前述の通り、シリコン基板と接触する幅が10mm程度であれば、表面粗さを1.0μm以上にすれば接触部の隙間から雰囲気ガスを進入させることが可能であり、また、シリコン基板と接触する幅が10mmを超える場合であっても、表面粗さが100μm以下の範囲内で表面を粗くすればよい。さらに望ましい態様として、接触する領域に雰囲気ガスを侵入可能にするため、スリットや貫通孔を設けることができる。
図8は、治具表面に雰囲気ガスを進入可能とするスリットを設けた熱処理治具を示す図である。具体的な寸法条件としては、スリット12の深さが0.2mm以上、スリット12間のピッチ間隔を1mm以上とすることを例示できる。
図9は、治具表面に雰囲気ガスを進入可能とする貫通孔を設けた熱処理治具を示す図である。貫通孔13を設ける場合には、孔直径1mm以上、孔相互間の間隔が1mm以上で均等に配置するのがよい。
本発明の熱処理治具では、半導体シリコン基板と接触し保持する領域の基材をシリコン材料、またはシリコンカーバイド材料で構成することができる。シリコン基板との接触領域において、両材料の硬度はシリコンと同等または軟らかいため、一層、スリップの低減を図ることができる。
シリコン材料で構成する場合には、その表面にシリコンカーバイド(SiC)膜を堆積してもよい。高純度のSiCを用いて被膜することにより、半導体シリコン基板への汚染を減少することができる。
本発明の熱処理治具による効果を確認するため、治具形状、厚さ、熱処理ボーに搭載された際の撓み変位量および表面粗さ(Ra値)等を種々変更した熱処理治具を作製し、前記図1に示す縦型熱処理炉の4点外周支持の熱処理ボーに搭載して、各熱処理時の挙動を確認した。
(実施例1)
治具の厚さの適否を確認するため、発明例No.1の治具として、直径が319mm、厚さが1.5mm、撓み変位量が40μm〜60μm、および表面粗さが1.5μm〜1.7μmからなるシリコンカーバイド(SiC)製の円板構造の熱処理治具を3個製作した。
同様に、比較例No.1の治具として、直径が319mm、厚さが0.9mm、撓み変位量が50μm〜80μm、および表面粗さが1.4μm〜1.7μmからなるSiC製の円板構造の熱処理治具を3個製作した。
これら熱処理治具に直径が300mmのシリコン基板を積載し、縦型熱処理炉にてアルゴンガス雰囲気下で600℃から1000℃まで5℃/分、1200℃までを3℃/分で昇温し1200℃で1時間保持した後、600℃まで3℃/分で降温させた。熱処理後のシリコン基板は魔鏡装置を用いてスリップを観察した。
観察結果によれば、発明例No.1の治具を用いたシリコン基板の内部に薄い十字形状のスリップが発生したのに対し、比較例No.1の治具を用いたシリコン基板では、ボート開口部側のボート支持に対応する位置に大きなスリップが発生した。
この結果から、熱処理ボーに搭載された際の撓み変位量が本発明で規定する範囲であっても、治具厚さが薄く、本発明で規定する範囲を外れる比較例No.1の治具では、大きなスリップが発生することが確認できた。
(実施例2)
発明例No.2の治具として、直径が319mm、厚さが2.0mm、撓み変位量が30μm〜60μm、および表面粗さが1.5μm〜2.0μmからなるSiC製の円板構造の熱処理治具を50個製作した。
得られた発明例No.2の治具を縦型熱処理ボートにピッチ12mmで搭載した後、実施例1と同じ熱処理を施した。熱処理後のシリコン基板を魔鏡装置でスリップを観察した結果、殆どのシリコン基板は外周部にスリップが発生していた。
次に、同じ発明例No.2の治具を縦型熱処理ボートにピッチ12mmで搭載した後、上記と同じ条件で熱処理を実施したが、1000℃〜1200℃の昇降温速度を1.5℃/分に変更した。熱処理後のシリコン基板を観察した結果、外周部に発生していたスリップが大幅に低減していた。
さらに、発明例No.3の治具として、上記発明例No.2の治具の中央部に220mmの領域に孔あけ加工を施した。得られた発明例No.3の治具を縦型熱処理ボートにピッチ12mmで搭載した後、実施例1と同じ熱処理を施した。熱処理後のシリコン基板を観察した結果、殆どのシリコン基板のリング内周部であって端面に相当する領域に4から12本ほどのスリップが発生していた。
しかし、貫通孔を施した発明例No.3の治具を用いることにより、発明例No.2の治具を用いる場合に比べ、シリコン基板に付加される熱応力が低減されて、スリップの発生を大幅に低減できることが確認できた。
(実施例3)
さらに、上記実施例2で孔あけ加工を施した残材を用いて、下記の発明例No.4〜6の治具を製作した。
まず、発明例No.4の治具として、直径が210mm、厚さが1.8mm、撓み変位量が30μm〜60μm、および表面粗さが2.1μm〜2.3μmからなるSiC製の円板構造の熱処理治具を10個製作した。
次に、発明例No.5の治具として、直径が210mm、内径が205mm、厚さが1.8mm、撓み変位量が30μm〜60μm、および表面粗さが2.1μm〜2.2μmからなるSiC製のリング構造の熱処理治具を10個製作した。
さらに、発明例No.6の治具として、直径が210mm、内径が200mm、厚さが1.8mm、撓み変位量が30μm〜60μm、および表面粗さが2.0μm〜2.3μmからなるSiC製のリング構造の熱処理治具を10個製作した。
これら3水準の発明例No.4〜6の治具に直径が300mmのシリコン基板を積載し、実施例1と同じ条件で熱処理を施した。熱処理後のシリコン基板を観察した結果、いずれの治具を用いたシリコン基板とも、薄いスリップが数本発生しているのみで良好な結果が得られた。
(実施例4)
繰り返し熱処理にともなう撓み変位量の変化状況を確認するため、発明例No.7の治具として、直径が210mm、厚さが1.8mm、撓み変位量が20μm〜40μm、および表面粗さが2.1μm〜2.3μmからなる多結晶シリコン基材を用いた円板構造の熱処理治具を製作した。
得られた発明例No.7の治具を用いて、加熱温度が1350℃、加熱時間が10時間のSIMOX熱処理の条件で、シリコン基板を熱処理した。ただし、熱処理雰囲気としては、アルゴンガスに3%酸素を含有するガス条件で実施した。熱処理後のシリコン基板を観察した結果、スリップの発生はなく、または数本の薄いスリップが発生している程度であった。
同じ発明例No.7の治具を用いて、3〜5回の繰り返し熱処理を行うと、熱処理回数の増加にともなってスリップの発生が多くなり、最終熱処理時に熱処理ボートに搭載された際の撓み変位量は200μm〜400μmとなった。したがって、スリップの発生を防止するには、各回の熱処理毎に、熱処理ボートに搭載された状態での熱処理治具の撓み変位量が本発明に規定する範囲内であることを確認する必要がある。
一方、治具の寿命に関しては、治具厚さを増やすことによって剛性を向上させることができるので、最大6mm程度まで厚くすることによって寿命延長を図れることを確認している。
(実施例5)
同様に、繰り返し熱処理にともなう撓み変位量の変化状況を確認するため、発明例No.8の治具として、実施例3で用いた発明例No.5のリング構造の治具(直径が210mm、内径が205mm、厚さが1.8mm、撓み変位量が30μm〜60μm、および表面粗さが2.1μm〜2.2μm)をシリコン材料で製作した。
次に、直径が319mm、内径が200mm、厚さが1.5mm、撓み変位量が50μm、および表面粗さが2.0μm〜2.2μmからなるSiC製のリング構造の熱処理治具上に、得られた発明例No.8の治具を設置した。その後、実施例4と同様に、繰り返し熱処理を施した。繰り返し熱処理後にスリップを観察したが、スリップの発生状況は良好であり、複数回の熱処理を繰り返したが顕著にスリップが多発することはなかった。
(実施例6)
シリコン基板での熱酸化膜の成長抑制の挙動を確認するため、前記実施例2で用いた発明例No.2の治具(直径が319mm、厚さが2.0mm、撓み変位量が30μm〜60μm、および表面粗さが1.5μm〜2.0μmからなるSiC製の円板構造)の上に、前記実施例4で用いた発明例No.7の治具(直径が210mm、厚さが1.8mm、撓み変位量が20μm〜40μm、および表面粗さが2.1μm〜2.3μmからなるシリコン製の円板構造)を積載した。
同様に、実施例2で用いた発明例No.2の治具の上に、前記実施例5で用いた発明例No.8の治具(直径が210mm、内径が205mm、厚さが1.8mm、撓み変位量が30μm〜60μm、および表面粗さが2.1μm〜2.2μmからなるシリコン製のリング構造)を積載した。
積載された発明例No.7の治具(円板構造の熱処理治具)および発明例No.8の治具(リング構造の熱処理治具)の上に、さらに外径300mmのシリコン基板を搭載し、SIMOX熱処理を行った。SIMOX熱処理の条件は実施例4と同様に、加熱温度を1350℃、加熱時間を10時間としたが、雰囲気は酸素50%含有とした。
SIMOX熱処理されたシリコン基板表面に成長した酸化膜厚みを測定した結果、基板表面では熱酸化膜の厚さは約990nmであった。治具と接触していない基板裏面もその厚さは約990nmであった。
しかし、発明例No.7の治具(円板構造の熱処理治具)と接触する領域では、接触開始部から約10mmの範囲で熱酸化膜の厚さは約980nmまで減少し、シリコン基板の中央部になると、その厚さは約935nmまで減少した。一方、発明例No.8の治具(リング構造の熱処理治具)と接触する領域では、熱酸化膜の厚さに変化が見られなかった。
(実施例7)
熱酸化膜の成長抑制を回避するには、スリットまたは貫通孔を設けることが有効であることを確認するため、発明例No.9の治具として、直径が210mm、厚さが1.8mm、撓み変位量が40μm、および表面粗さが2.6μmのSiC製の円板構造の熱処理治具の表面に、深さ0.5mm、幅3.0mmのスリットを5.0mm間隔で加工した。
同様に、発明例No.10の治具として、直径が210mm、厚さが1.8mm、撓み変位量が40μm、および表面粗さが2.6μmのSiC製の円板構造の熱処理治具の表面に、直径3.0mmの貫通孔を7.5mm間隔で加工した。
得られた発明例No.9の治具と発明例No.10の治具とを用いて、シリコン基板にSIMOX熱処理を施した。熱処理後のシリコン基板の熱酸化膜の厚さを測定の結果、発明例No.9の治具および発明例No.10の治具を用いた場合は、シリコン基板と接触する領域においても、熱酸化膜の厚さに減少は殆ど観察されず、シリコン基板の表裏面とも約980nmから990nmの均一な膜厚であり、膜厚の変化率は3%未満であることが確認された。
本発明の半導体シリコン基板用熱処理治具によれば、縦型熱処理炉の熱処理ボートに搭載された状態での熱処理治具の撓み変位量を制限するとともに、半導体シリコン基板の裏面と接触する領域での表面粗さを規定することにより、スリップの発生を有効に低減させると同時に、基板裏面における熱酸化膜の成長抑制を回避し、デバイス製造でのフォトリソ工程でデフォーカスの原因となる表面段差をなくすことができる。
これにより、半導体シリコン基板の高品質を維持することができるとともに、デバイス歩留まりを大幅に向上させることが可能となり、半導体用デバイスの製造分野において、広く利用することができる。
縦型熱処理炉に用いられる半導体シリコン基板用の熱処理ボートの構成例を示す図である。 治具形状が熱処理治具に発生する撓み変位量に及ぼす影響を調査した結果を示す図である。 供試した熱処理治具の形状および熱処理ボートに搭載した際の支持方式を示す図である。 本発明の熱処理治具の構成例を説明する図であり、(a)は支柱に支持される円板構造の治具を示し、(b)、(c)、(d)は支柱に支持されるリング構造の治具を示している。 本発明の熱処理治具の他の構成例を説明する図であり、(a)および(c)は支柱に支持される円板構造の治具を示し、(b)および(d)は支柱に支持されるリング構造の治具を示している。 円板構造の熱処理治具に座ぐりを設けた構成例を示す図であり、(a)、(c)は治具の中央部に円筒状の座ぐり10を設けた構成であり、(b)、(d)は治具の中央部を球状の座ぐり10を設けた構成である。 円板構造およびリング構造の熱処理治具にシリコン基板と接触し保持する領域に凸部形状を構成する例を説明する図であり、(a)は熱処理治具の平面図を示し、(b)、(c)は治具に半導体シリコン基板を搭載したX−X視野による正面断面図を示している。 治具表面に雰囲気ガスを進入可能とするスリットを設けた熱処理治具を示す図である。 治具表面に雰囲気ガスを進入可能とする貫通孔を設けた熱処理治具を示す図である。
符号の説明
1:熱処理ボー、 2:開口部
3:支柱、 4:基板支持部
5:上部天板、 6:下部天板
7:熱処理治具、 7a、7e:平板構造治具
7b、7c、7d、7f:リング構造治具
8:半導体シリコン基板、 9:リング部材
10:座ぐり、 11:凸部
12:スリット、 13:貫通孔

Claims (4)

  1. 半導体シリコン基板と接触し保持して、縦型熱処理炉の熱処理ボートに搭載される熱処理治具であって、
    その厚さが1.5mm以上、6.0mm以下で、前記熱処理ボートに搭載された際の前記半導体シリコン基板と接触する領域の撓み変位量を100μm以下とし、
    前記半導体シリコン基板と接触し保持する治具の外径が当該半導体シリコン基板の直径の65%以上で、かつ当該半導体シリコン基板の直径よりも小さく、治具形状が円板構造で、その中心部に座ぐりを設けており
    前記半導体シリコン基板と接触する面の表面粗さ(Ra値)が1.0μm以上、100μm以下であることを特徴とする半導体シリコン基板用熱処理治具。
  2. 記半導体シリコン基板と接触し保持する領域に凸部形状を構成したことを特徴とする請求項1に記載の半導体シリコン基板用熱処理治具。
  3. 前記半導体シリコン基板と接触し保持する領域にスリットを所定の間隔で設け、または貫通孔を均等に配置したことを特徴とする請求項1または2に記載の半導体シリコン基板用熱処理治具。
  4. 前記半導体シリコン基板と接触し保持する領域がシリコン材料若しくはシリコン材料にシリコンカーバイド膜を堆積した材料、またはシリコンカーバイド材料で構成されていることを特徴とする請求項1〜のいずれかに記載の半導体シリコン基板用熱処理治具。
JP2004182160A 2004-06-21 2004-06-21 半導体シリコン基板用熱処理治具 Active JP4534619B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004182160A JP4534619B2 (ja) 2004-06-21 2004-06-21 半導体シリコン基板用熱処理治具
US11/039,968 US7210925B2 (en) 2004-06-21 2005-01-24 Heat treatment jig for silicon semiconductor substrate
CNB2005800195668A CN100543936C (zh) 2004-06-21 2005-06-17 半导体硅基板用热处理夹具
EP05750967A EP1780774B1 (en) 2004-06-21 2005-06-17 Heat treatment jig for a semiconductor silicon substrate
PCT/JP2005/011137 WO2005124839A1 (ja) 2004-06-21 2005-06-17 半導体シリコン基板用熱処理治具
TW094120482A TW200605292A (en) 2004-06-21 2005-06-20 Heat treatment jig for semiconductor silicon substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004182160A JP4534619B2 (ja) 2004-06-21 2004-06-21 半導体シリコン基板用熱処理治具

Publications (2)

Publication Number Publication Date
JP2006005274A JP2006005274A (ja) 2006-01-05
JP4534619B2 true JP4534619B2 (ja) 2010-09-01

Family

ID=35480992

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004182160A Active JP4534619B2 (ja) 2004-06-21 2004-06-21 半導体シリコン基板用熱処理治具

Country Status (6)

Country Link
US (1) US7210925B2 (ja)
EP (1) EP1780774B1 (ja)
JP (1) JP4534619B2 (ja)
CN (1) CN100543936C (ja)
TW (1) TW200605292A (ja)
WO (1) WO2005124839A1 (ja)

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
JP4820755B2 (ja) * 2004-08-06 2011-11-24 株式会社日立国際電気 熱処理装置及び基板の製造方法
JP4833074B2 (ja) * 2004-09-30 2011-12-07 株式会社日立国際電気 熱処理装置、熱処理方法、基板の製造方法及び半導体装置の製造方法
US20060144337A1 (en) * 2005-01-06 2006-07-06 Hsien-Che Teng Heater for heating a wafer and method for preventing contamination of the heater
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102006055038B4 (de) * 2006-11-22 2012-12-27 Siltronic Ag Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe
US8042697B2 (en) * 2008-06-30 2011-10-25 Memc Electronic Materials, Inc. Low thermal mass semiconductor wafer support
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102257165A (zh) * 2008-12-17 2011-11-23 本田技研工业株式会社 运送夹具、制造运送夹具的方法和采用运送夹具热处理金属环的方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010245440A (ja) * 2009-04-09 2010-10-28 Sumco Corp ウェーハ熱処理方法及び熱処理用縦型ボート
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20120276746A1 (en) * 2011-04-27 2012-11-01 Denso Corporation Manufacturing method of semiconductor device and apparatus for manufacturing semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5358651B2 (ja) * 2011-11-09 2013-12-04 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
CN102732955B (zh) * 2012-06-21 2015-04-01 中国科学院半导体研究所 一种用于电子束蒸发的半导体外延片夹具
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR101694333B1 (ko) * 2016-07-06 2017-01-17 주식회사 로이첸 의류 수납기구
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108281338B (zh) * 2018-01-26 2019-06-18 长春理工大学 用于硅微通道板基体整体氧化防变形约束装置
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030604B2 (ja) * 2018-04-19 2022-03-07 三菱電機株式会社 ウエハボートおよびその製造方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021024385A1 (ja) * 2019-08-06 2021-02-11 株式会社Kokusai Electric 基板処理装置、基板支持具、半導体装置の製造方法およびプログラム
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112899662A (zh) * 2019-12-04 2021-06-04 江苏菲沃泰纳米科技股份有限公司 Dlc制备装置和制备方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7431487B2 (ja) 2020-03-05 2024-02-15 クアーズテック合同会社 縦型ウエハボート及び縦型ウエハボートの製造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270369A (ja) * 1997-03-25 1998-10-09 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JPH10321543A (ja) * 1997-05-20 1998-12-04 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JP2003282388A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
JP2004134761A (ja) * 2002-08-30 2004-04-30 Asm Internatl Nv 高温熱処理用のサセプタプレート
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
WO2005104204A1 (ja) * 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. 熱処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992625A (ja) * 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
JPH09199438A (ja) 1996-01-12 1997-07-31 Tokyo Electron Ltd 熱処理用治具
JPH10242067A (ja) 1997-03-03 1998-09-11 Tokyo Electron Ltd 熱処理用基板支持具
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP4003906B2 (ja) * 1999-03-19 2007-11-07 コバレントマテリアル株式会社 シリコン単結晶半導体ウエハ加熱処理用治具及びこれを用いたシリコン単結晶半導体ウエハ加熱処理用装置
JP3942317B2 (ja) 1999-08-20 2007-07-11 東芝セラミックス株式会社 半導体ウェーハ熱処理用保持具および熱処理方法
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP3554297B2 (ja) * 2001-07-26 2004-08-18 株式会社エフティーエル 半導体基板熱処理装置及び半導体素子の製造方法
JP2003100648A (ja) 2001-09-21 2003-04-04 Toshiba Ceramics Co Ltd 半導体ウエハ熱処理用治具
JP2003197722A (ja) 2001-12-26 2003-07-11 Toshiba Ceramics Co Ltd 半導体ウェーハ熱処理用治具及びこれを用いた熱処理用装置並びに半導体ウェーハ熱処理用治具の製造方法
US7077913B2 (en) * 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
JP2003249458A (ja) 2002-02-26 2003-09-05 Kyocera Corp ウェハ保持リング

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270369A (ja) * 1997-03-25 1998-10-09 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JPH10321543A (ja) * 1997-05-20 1998-12-04 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JP2003282388A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
JP2004134761A (ja) * 2002-08-30 2004-04-30 Asm Internatl Nv 高温熱処理用のサセプタプレート
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
WO2005104204A1 (ja) * 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. 熱処理装置

Also Published As

Publication number Publication date
EP1780774A4 (en) 2009-12-09
US20050282101A1 (en) 2005-12-22
CN1969376A (zh) 2007-05-23
JP2006005274A (ja) 2006-01-05
US7210925B2 (en) 2007-05-01
CN100543936C (zh) 2009-09-23
EP1780774A1 (en) 2007-05-02
TWI292937B (ja) 2008-01-21
TW200605292A (en) 2006-02-01
WO2005124839A1 (ja) 2005-12-29
EP1780774B1 (en) 2011-10-05

Similar Documents

Publication Publication Date Title
JP4534619B2 (ja) 半導体シリコン基板用熱処理治具
KR100758965B1 (ko) 반도체 웨이퍼용 열처리 치구
KR100816180B1 (ko) 반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법
US7163393B2 (en) Heat treatment jig for semiconductor silicon substrate
KR101537960B1 (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
KR101347696B1 (ko) 종형 열처리용 보트 및 이것을 이용한 반도체 웨이퍼의 열처리 방법
WO2006046348A1 (ja) 熱処理用縦型ボートおよび熱処理方法
JP2009152283A (ja) 半導体基板支持治具及びその製造方法。
KR100841196B1 (ko) 반도체 실리콘 기판용 열처리 지그
JP5130808B2 (ja) ウエーハ熱処理用治具およびこれを備えた縦型熱処理用ボート
JP3687578B2 (ja) 半導体シリコン基板の熱処理治具
JPS6339093B2 (ja)
JP4367012B2 (ja) 半導体基板の熱処理治具
JP2007005643A (ja) 半導体基板支持体
JP2007201058A (ja) シリコンウェーハ熱処理用ボート

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100607

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4534619

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250