CN1969376A - 半导体硅基板用热处理夹具 - Google Patents
半导体硅基板用热处理夹具 Download PDFInfo
- Publication number
- CN1969376A CN1969376A CNA2005800195668A CN200580019566A CN1969376A CN 1969376 A CN1969376 A CN 1969376A CN A2005800195668 A CNA2005800195668 A CN A2005800195668A CN 200580019566 A CN200580019566 A CN 200580019566A CN 1969376 A CN1969376 A CN 1969376A
- Authority
- CN
- China
- Prior art keywords
- heat treatment
- semiconductor substrate
- silicon semiconductor
- fixture
- anchor clamps
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
- H01L21/67306—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by a material, a roughness, a coating or the like
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F27—FURNACES; KILNS; OVENS; RETORTS
- F27D—DETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
- F27D5/00—Supports, screens, or the like for the charge within the furnace
- F27D5/0037—Supports specially adapted for semi-conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
- H01L21/67309—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6732—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6732—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
- H01L21/67323—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls characterized by a material, a roughness, a coating or the like
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Mechanical Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
提供一种半导体硅基板用热处理夹具,其接触于半导体硅基板并对其进行保持,并被搭载于纵型热处理炉的热处理舟,其中,夹具形状是环结构或圆板结构,其厚度为1.5mm以上、6.0mm以下,在被搭载于所述热处理舟时的和所述半导体硅基板接触的区域的挠曲变位量在100μm以下,和所述半导体硅基板接触并对其进行保持的夹具的外径在该半导体硅基板的直径的65%以上,和所述半导体硅基板接触的面的表面粗糙度(Ra值)在1.0μm以上、100μm以下。根据该热处理夹具,在有效地降低平滑裂隙的发生的同时,避免基板背面的热氧化膜的成长抑制,能够消除在设备制造的光刻工序中成为散焦的原因的表面阶梯差。由此,能够维持半导体硅基板的高品质,并且可以大幅度地提高设备成品率。
Description
技术领域
本发明涉及在纵型热处理炉的热处理舟(heat treatment boat)使用的热处理夹具,进一步详细地说,涉及一种半导体硅基板用热处理夹具,其可以降低在以高温对半导体硅基板进行热处理时作为结晶缺陷产生的平滑裂隙(slip),并且进一步地在高温的氧化氛围气体中进行热处理时,可以防止因在被保持的所述硅基板背面产生的热氧化膜的成长抑制而引起的表面阶梯差。
背景技术
在LSI设备制造工序中处理的半导体硅基板,在氧化、扩散以及成膜等工序中反复进行高温热处理来进行制造。在该半导体硅基板的热处理时,由于纵型热处理炉能够减小设置空间,适于大量地对大口径的半导体硅基板进行热处理,所以用作在半导体硅基板的各种热处理时所使用的装置。
图1是表示在纵型热处理炉使用的半导体硅基板用的热处理舟的结构例子的图。热处理舟1由三根以上的支柱3、在上下位置固定该支柱3的上部顶板5、以及下部顶板6构成,并设置有开口部2。
在所述支柱3排列设置基板支撑部4,在从开口部2侧将半导体硅基板载置于基板支撑部4之后,插入于纵型热处理炉进行规定的热处理。
如图1所示,由于热处理舟1由离开配置的上下一对的顶板5、6;和连结它们的多根支柱3构成,所以需要将半导体硅基板载置于基板支撑部4,或设置用于取出的开口部2。因此,通常,为了使半导体硅基板的载置或取出变得容易,在开口部2侧设置的两根支柱3,以相当于半导体硅基板的直径的程度地被分开配置。
在将半导体硅基板插入上述纵型热处理炉来进行1100℃以上的高温热处理的情况下,若在半导体硅基板的面内产生不均匀的温度分布,则伴随与此,在半导体硅基板产生热应力。另外,根据半导体硅基板的支撑方法,有时还会因硅基板的重量而产生应力(以下,仅称为“自重应力”)。
在现有的热处理用舟中,在只是支撑硅基板的外周部的方式的情况下,若对直径为300mm的半导体硅基板进行热处理,则自重应力的影响显著,难以使用。
了解到上述热应力或自重应力会在热处理时在半导体硅基板中引起被称为平滑裂隙的结晶缺陷。由于平滑裂隙会引起LSI设备的漏电流的增加或半导体硅基板平坦性的恶化,所以一直以来,从半导体硅基板的品质管理的观点出发,提出了抑制这些热应力或自重应力的提案。
例如,在特开平10-242067号公报以及特开2003-100648号公报等中,公开有以平板支撑硅基板的背面整体的圆板结构的热处理夹具。但是,根据圆板结构的热处理夹具,有时在被热处理材的硅基板和热处理夹具面接触的区域容易产生局部的温度差,因热应力而产生平滑裂隙。
为了消除因该圆板结构的热处理夹具引起的问题,提出了很多具备环结构的热处理夹具,作为其代表例,在特开平09-199438号公报中公开有如下技术:在硅基板背面的外周部区域通过具备了环状盘的热处理夹具进行保持。但是,根据本发明人的研究,确认到在具备了该环状盘的热处理夹具中,随着自重应力的产生,在硅基板中央部产生挠曲,通过与环状盘的内周端面接触而在硅基板产生表面裂纹,以此为起点产生平滑裂隙。
同样地,作为上述问题的对策,在特开2001-060559号公报中,提出有如下方法,即,为了防止在硅基板产生的自重应力,利用突出成环形状的第一级环和在其外侧同心状地突出形成的第二级环来保持硅基板背面的热处理方法。但是,同样根据本发明人的研究,由于没有对形成为两重的第一级以及第二级环的接触面的平面度进行规定,所以无法均匀地保持硅基板,不能充分地防止平滑裂隙的产生。
在特开2003-197722号公报中,公开有一种环形状(包括马蹄形状)的热处理用夹具,其对于接触面规定了平面度以及表面粗糙度,具有多个贯通孔,该贯通孔的形状在硅基板保持面上形成为同心圆状,并规定了在硅基板保持面的贯通孔的总面积。而且,在该公报中,优选表面粗糙度Ra为0.1~0.7μm,平面度在50μm以下。
但是,在假设是以直径300mm的半导体硅基板为对象的热处理用夹具的情况下,若想要确保保持该硅基板的全部区域的平面度在50μm以下,则需要高精度的加工,这样不仅增大了制造成本,在加工精度的方面,现实上不具备制造条件。
如前所述,在以高温对半导体硅基板进行热处理时产生的应力或自重应力,由于在硅基板中引起平滑裂隙,所以为了确保半导体硅基板的品质特性,尝试了有关于可有效防止热应力或自重应力的产生的热处理夹具的各种对策。但是,在到目前为止提出的热处理夹具中,都没有很好的防止平滑裂隙产生的对策。
进而,随着半导体硅基板的高温热处理,还产生了新的有关于因热处理夹具引起的热氧化膜的课题。具体地说,在以纵型热处理炉的热处理舟、利用热处理夹具实施氧化工序的情况下,例如,在SIMOX制造工序中,需要在高浓度的氧气氛围气体下以1300℃以上的高温进行热处理。
通常,在这样的高温氧化工序处理中,随着氧气氛围气体下的热处理,在硅基板的表背面生成厚度在0.5μm到1.0μm的范围内的热氧化膜。但是,在硅基板的背面的和热处理夹具接触而被保持的区域,抑制了热氧化膜的成长,与其他区域相比热氧化膜的成长厚度减少。
因此,若在高温氧化工序处理后进行除去硅基板表面的热氧化膜的处理,则在硅基板的背面,基于所述热氧化膜的成长厚度的差异而产生表面阶梯差。在该硅基板背面产生的表面阶梯差,在设备制造工序的光刻工序中产生散焦,使设备成品率大大降低。
发明内容
本发明为了解决伴随着上述半导体硅基板的高温热处理的课题而提出,目的在于提供一种热处理夹具,其通过限制被搭载于纵型热处理炉的热处理舟的状态下的热处理夹具的挠曲变位量,并且通过调整在和半导体硅基板的背面接触的区域的表面粗糙度并施加各种改善,从而能够有效地降低平滑裂隙的产生,同时能够消除因基板背面的热氧化膜的成长差而引起的表面阶梯差。
本发明人为了解决上述课题,将热处理夹具用于纵型热处理炉的热处理舟进行各种热处理,为了有效地降低平滑裂隙的产生、避免硅基板的背面的热氧化膜的成长抑制,对于热处理夹具应具备的条件进行了各种研究。
一直以来,作为平滑裂隙的降低对策,加工后的热处理夹具的平面度管理是有效的,在与半导体硅基板接触的区域的平面度(JIS B 0621)需要在100μm以下,优选的是在50μm以下。
但是,本发明人并不拘泥于这样的对策,为了有效地降低平滑裂隙的产生,着眼于在热处理夹具被搭载于热处理舟的状态下,需要对在热处理夹具产生的挠曲变位量进行管理的情况。
图2是表示调查了夹具形状对在热处理夹具产生的挠曲变位量带来的影响的结果的图。供给试验的热处理夹具是直径为320mm、厚度为2mm的由碳化硅材料构成的平板结构以及环结构的夹具,对在被搭载于所述图1所示的纵型热处理炉使用的热处理舟时产生的挠曲变位量进行了测定。
图3是表示供给试验的热处理夹具的形状以及在被搭载于热处理舟时的支撑方式的图。热处理夹具7的形状是中心孔的外径在0~210mm的范围内变化的平板结构以及环结构,在用基板支撑部4支撑夹具7的外周四点的情况下,调查了夹具形状对产生的挠曲变位量带来的影响。夹具的测定点是热处理舟的开口部2的夹具外周部(A部)、以及平板结构夹具的中心部(B部)或环结构夹具的内周部(B点)。
如图2所示,在热处理夹具没有设置中心孔的情况下,因自重而在夹具的中央部(B部)产生大约30μm的挠曲,在与舟开口部对应的外周部(A部)挠曲最大,其变位量大约为85μm。
接着,在热处理夹具设置中心孔、并使其外径变化的情况下,随着中心孔的外径的增大,在夹具内周部(B点)以及外周部(A部)的挠曲变位量增加。例如,在中心孔的外径为210mm的环结构夹具中,挠曲变位量在夹具内周部(B点)约为80μm,在外周部(A部)约为105μm,表示出分别增加50μm以及20μm左右。
因此,为了有效地降低随着半导体硅基板的高温热处理而产生的平滑裂隙,需要对在热处理夹具被搭载于纵型热处理炉的热处理舟的状态下的在热处理夹具产生的挠曲变位量进行管理,以代替加工后的热处理夹具的平面度的管理。
进而,关于半导体硅基板的背面的热氧化膜的成长,在高温的氧化氛围气体的条件下长时间地进行热处理时,在热处理夹具和硅基板的接触部抑制热氧化膜的成长,接触部的区域越扩大热氧化膜的成长抑制越显著。
在硅基板产生的表面阶梯差有可能对在光刻工序中的散焦带来影响。尤其在通过如SIMOX热处理那样的高温下长时间处理,来生成厚的氧化膜的情况下,有时会产生问题。
为了达成该目的,根据本发明人的研究,例如,如果使用环结构的夹具、接触区域的宽度为10mm左右,则可以明确:若表面粗糙度在1.0μm以上会促使氛围气体从接触部的间隙进入,在高温的氧化热处理后在接触区域和其他区域的热氧化膜厚度不会产生差,或者即使产生了差,其变化量也满足3%以下。
另外,即使在接触的区域的宽度超过10mm的情况下,通过进一步使接触表面变得粗糙,氛围气体变得容易侵入。进而,在热处理夹具的接触的区域以一定的间隔设置狭缝或贯通孔,可有效地使氛围气体侵入。
本发明是基于上述的研究结果而完成的发明,其以下述(1)~(4)的半导体硅基板用热处理夹具为要旨。
(1)一种半导体硅基板用热处理夹具,其接触于半导体硅基板并对其进行保持,并被搭载于纵型热处理炉的热处理舟,其中,
夹具形状是环结构或圆板结构,其厚度为1.5mm以上、6.0mm以下,
在被搭载于所述热处理舟时的和所述半导体硅基板接触的区域的挠曲变位量在100μm以下,和所述半导体硅基板接触并对其进行保持的夹具的外径在该半导体硅基板的直径的65%以上,和所述半导体硅基板接触的面的表面粗糙度(Ra值)在1.0μm以上、100μm以下。
(2)在上述(1)的半导体硅基板用热处理夹具中,在夹具形状是环结构时,优选其环宽度为0.5mm以上。另外,在夹具形状是圆板结构时,优选在其中心部设置锪孔、或者在和所述半导体硅基板接触并对其进行保持的区域构成凸部形状。
(3)在上述(1)的半导体硅基板用热处理夹具中,进而为了避免热氧化膜的成长抑制,优选在和所述半导体硅基板接触并对其进行保持的区域以规定的间隔设置狭缝、或者均等地配置贯通孔,以促进氛围气体进入热处理夹具的表面。
(4)在上述(1)~(3)的半导体硅基板用热处理夹具中,优选由硅材料、在硅材料堆积了碳化硅膜的材料、或碳化硅材料的任一种,构成和所述半导体硅基板接触并对其进行保持的区域。
根据本发明的半导体硅基板用热处理夹具,通过限制在被搭载于纵型热处理炉的热处理舟的状态下在热处理夹具产生的挠曲变位量,并且通过规定在和半导体硅基板的背面接触的区域的表面粗糙度,从而在有效地降低平滑裂隙的发生的同时,避免基板背面的热氧化膜的成长抑制,能够消除在设备制造的光刻工序中成为散焦的原因的表面阶梯差。由此,能够维持半导体硅基板的高度的品质特性,并且可以大幅度地提高设备成品率。
附图说明
图1是表示纵型热处理炉使用的半导体硅基板用的热处理舟的结构例的图;
图2是表示调查了夹具形状对在热处理夹具产生的挠曲变位量带来的影响的结果的图;
图3是表示供给试验的热处理夹具的形状以及搭载于热处理舟时的支撑方式的图;
图4是说明本发明的热处理夹具的结构例的图,图4(a)表示在支柱支撑的圆板结构的夹具,图4(b)~(d)表示在支柱支撑的环结构的夹具;
图5是说明本发明的热处理夹具的其他结构例的图,图5(a)以及(c)表示在支柱支撑的圆板结构的夹具,图5(b)以及(d)表示在支柱支撑的环结构的夹具;
图6是表示在圆板结构的热处理夹具设置了锪孔的结构例的图,图6(a)以及(c)是在夹具的中央部设置了圆筒状的锪孔的结构,图6(b)以及(d)是在夹具的中央部设置了球状的锪孔的结构;
图7是说明在圆板结构以及环结构的热处理夹具的、与硅基板接触并对其进行保持的区域构成凸部形状的例子的图,图7(a)表示热处理夹具的俯视图,图7(b)以及(c)表示在夹具上搭载了半导体硅基板的X-X视向的主视剖面图;
图8是表示在夹具表面设置了可以进入氛围气体的狭缝的热处理夹具的图;
图9是表示在夹具表面设置了可以进入氛围气体的贯通孔的热处理夹具的图。
具体实施方式
本发明的半导体硅基板用热处理夹具,是接触并保持半导体硅基板、并将其搭载于纵型热处理炉的热处理舟的热处理夹具,其特征在于,厚度为1.5mm以上、6.0mm以下,在被搭载于所述热处理舟时的挠曲变位量在100μm以下,并且与所述半导体硅基板接触的面的表面粗糙度(Ra值)在1.0μm以上、100μm以下。
图4是说明本发明的热处理夹具的结构例的图,图4(a)表示在支柱支撑的圆板结构的夹具,图4(b)~(d)表示在支柱3支撑的环结构的夹具7b、7c、7d。
图4所示的热处理夹具,是具备和硅基板的直径相同或以上的直径的夹具结构,尤其在圆板结构的夹具7a中,虽然能够降低被搭载于热处理舟时的挠曲变位量,但由于是大致与硅基板8的背面整面接触来进行保持的结构,所以夹具的热容量较大,使得降低了升温·降温特性,因此有可能降低生产率。
此时,若需要减小和硅基板8的背面接触的区域,则如后所述,可以在其中心部设置锪孔,或者在该区域构成和硅基板接触并对其进行保持的凸部形状。
另一方面,在环结构的夹具7b中,与圆板结构的夹具7a相比,能够减少夹具的热容量。进而,在环结构的夹具7c中,在和硅基板8的背面接触的区域设置突起结构,在环结构的夹具7d中,形成在环结构的夹具上设置环宽度狭窄的环部件9的二重结构。作为环结构通过采用夹具7c、7d的结构,由此能够进一步降低和硅基板的接触温度差。
图5是说明本发明的热处理夹具的其他结构例的图,图5(a)以及(c)表示在支柱3支撑的圆板结构的夹具7a、7e,图5(b)以及(d)表示在支柱3支撑的环结构的夹具7b、7f。
图5所示的热处理夹具,是具备比硅基板的直径小的直径的夹具结构,与图4所示的热处理夹具相比,由于能够减小热容量,所以在热处理的生产率方面是有利的。由于进一步降低和硅基板的接触温度差,所以在图5(c)所示的夹具7e中,形成为在圆板结构的夹具的、和硅基板8的背面接触的区域设置环宽度狭窄的环部件9的二重结构,在图5(d)所示的夹具7f中,形成为在环结构的夹具上设置环部件9的二重结构。
在图5所示的热处理夹具中,也如后所述,可以在其中心部设置锪孔,或者在和硅基板接触的区域构成凸部形状,或将这两者进行组合。
在本发明的热处理夹具中,将其厚度规定在1.5mm以上、6mm以下。通常,热处理夹具的厚度和挠曲变位量为反比例关系,通过使其厚度为1.5mm以上,可以将热处理夹具的挠曲变位量改善在预定的规定值以下。
另一方面,若夹具厚度变厚,则热处理夹具的热容量增大,在热处理炉内的升温·降温特性下降,投入个数减少,生产率下降,因此,使其厚度的上限为6mm。在实际操作作业中,由于在热处理炉内搭载数十个热处理夹具,所以优选其厚度在1.8mm以上、4mm以下。
进而,在本发明的热处理夹具中,将搭载于热处理舟时的挠曲变位量规定在100μm以下。这是因为,基于所述的研究结果,为了有效降低在热处理中产生的平滑裂隙,需要降低在已被搭载于热处理舟的状态下在热处理夹具产生的挠曲变位量,来代替对加工后的热处理夹具的平面度进行管理的情况。
若在已被搭载于热处理舟时的挠曲变位量超过100μm,则热处理夹具和半导体硅基板的接触容易变成电接触,硅基板的支撑变得不充分,并且因该点接触而在硅基板的自重负荷的作用下产生平滑裂隙。因此,在已被搭载于热处理舟的状态下的热处理夹具需要使其挠曲变位量在100μm以下,更优选的是在50μm以下。
在本发明的热处理夹具中,和半导体硅基板接触的面的表面粗糙度在0.1μm以上、100μm以下。本发明规定的表面粗糙度是以JIS B 0601规定的中心线平均粗糙度(Ra值)来表示的。
若表面粗糙度不足0.1μm,则在高温热处理结束之后,除了夹具表面和硅基板变得容易粘着之外,如前所述,在热处理夹具和半导体硅基板接触的区域难以使氛围气体进入。
因此,在表面粗糙度不足0.1μm的情况下,需要通过使用了硅石粒或碳化硅粒的喷砂(blast)处理的方法、或者通过利用了机械加工装置或车床等的导入工具痕(tool mark)的方法,使表面某种程度地变粗糙,最终使表面粗糙度(Ra值)在0.1μm以上。
另一方面,虽然能够通过机械加工或车床等增大夹具表面的粗糙度,但由于加工时的生产率或成品率的问题,表面粗糙度(Ra值)的上限为100μm。
在本发明的热处理夹具中,使和半导体硅基板接触并对其进行保持的夹具的外径在该半导体硅基板的直径的65%以上,夹具形状是环结构或圆板结构的任一种。此时,优选夹具外径的上限为该半导体硅基板的直径的75%。另外,作为本发明的夹具结构,不管是圆板结构或环结构的哪一种,只要是能够稳定支撑半导体硅基板的结构就可以采用。
在本发明的热处理夹具中,在夹具形状是环结构的情况下,优选其环宽度在0.5mm以上。如所述图4以及图5所示,通过以环结构来构成,相比于以圆板结构来构成的情况,能够进一步减小和硅基板接触的面的面积,因此可有效防止因硅基板和热处理夹具的接触而引起的平滑裂隙。但是,若该环宽度不足0.5mm,则由于无法高精度地进行加工,所以环宽度在0.5mm以上。
在本发明的热处理夹具中,在夹具形状是圆板结构的情况下,优选在其中心部设置锪孔,或者在和所述半导体硅基板接触并对其进行保持的区域构成凸部形状。通过设置锪孔、或者构成凸部形状,即使是圆板结构也可实现热容量的降低,并且能够将和半导体硅基板接触并对其进行保持的区域调整在适当的范围内。
图6是表示在圆板结构的热处理夹具设置了锪孔的结构例的图,图6(a)是在使用直径大于硅基板的夹具的情况下在夹具的中央部设置圆筒状的锪孔10的结构,图6(b)是在使用直径大于硅基板的夹具的情况下在夹具的中央部设置球状的锪孔10的结构,图6(c)是在使用直径小于硅基板的夹具的情况下在夹具的中央部设置圆筒状的锪孔10的结构,图6(d)是在使用直径小于硅基板的夹具的情况下在夹具的中央部设置球状的锪孔10的结构。
虽然可以采用任一种锪孔10,但在将锪孔10设置在上面侧的情况下,锪孔10的最大直径优选在该硅基板的直径的65%左右。在本发明的热处理夹具中,并不限定于将锪孔10设置在上面侧的情况,也可以是设置在下面侧的情况。
图7是说明在圆板结构以及环结构的热处理夹具的、与硅基板接触并对其进行保持的区域构成凸部形状的例子的图,图7(a)表示热处理夹具的俯视图,图7(b)表示在圆板结构的夹具7a上搭载了半导体硅基板的X-X视向的主视剖面图,图7(c)表示在环结构的夹具7b上搭载了半导体硅基板的X-X视向的主视剖面图。在图7所示的结构中,可以同心状地设置凸部11,在成为对象的半导体硅基板8的直径的65%以上的范围与基板背面接触并对其进行保持。
凸部形状的配置并不限定于图7所示的配置,也可以允许其他的配置。例如,也可以是从夹具7a的中心顺次增大凸部11的直径、或在大直径的凸部11的间隙中设置小直径的凸部11的配置。
在本发明的热处理夹具中,为了在和硅基板接触并对其进行保持的区域避免抑制热氧化膜的成长,优选在和硅基板接触并对其进行保持的区域以一定间隔设置有狭缝,或者在区域的整个面上均等地配置贯通孔,以促进氛围气体进入热处理夹具的表面。
如前所述,和硅基板接触的宽度只要在10mm左右,若表面粗糙度在1.0μm以上则可以使氛围气体从接触部的间隙进入,另外,即使在和硅基板接触的宽度超过10mm的情况下,只要在表面粗糙度为100μm以下的范围内使表面变粗即可。作为更优选的样态,为了使氛围气体可侵入到接触的区域,可以设置狭缝或贯通孔。
图8是表示在夹具表面设置了可以进入氛围气体的狭缝的热处理夹具的图。作为具体的尺寸条件,可以例示出狭缝12的深度在0.2mm以上,狭缝12之间的间距间隔在1mm以上的情况。
图9是表示在夹具表面设置了可以进入氛围气体的贯通孔的热处理夹具的图。在设置贯通孔13的情况下,可在孔直径为1mm以上、孔相互之间的间隔为1mm以上的状态下均等地配置。
在本发明的热处理夹具中,可以由硅材料、或碳化硅材料构成和半导体硅基板接触并对其进行保持的区域的基材。在和硅基板接触的区域,由于两材料的硬度与硅相同或比其软,所以能够进一步降低平滑裂隙。
在由硅材料构成的情况下,可以在其表面堆积碳化硅(SiC)膜。通过使用高纯度的SiC来进行被膜,能够减少对半导体硅基板的污染。
实施例
为了确认本发明的热处理夹具的效果,制作了夹具形状、厚度、被搭载于热处理舟时的挠曲变位量以及表面粗糙度(Ra值)等进行了各种变化了的热处理夹具,搭载于所述图1所示的纵型热处理炉的四点外周支撑的热处理舟,确认了各热处理时的举动。
(实施例1)
为了确认夹具的厚度是否合适,作为发明例No.1的夹具,制作了三个碳化硅(SiC)制的圆板结构的热处理夹具,其直径为319mm、厚度为1.5mm、挠曲变位量为40μm~60μm、以及表面粗糙度为1.5μm~1.7μm。
同样地,作为比较例No.1的夹具,制作了三个SiC制的圆板结构的热处理夹具,其直径为319mm、厚度为0.9mm、挠曲变位量为50μm~80μm、以及表面粗糙度为1.4μm~1.7μm。
在这些热处理夹具上积载直径为300mm的硅基板,用纵型热处理炉在氩气氛围气体下,以5℃/分钟的速度,从600℃升温到1000℃,以3℃/分钟的速度,升温到1200℃,并在1200℃下保持一个小时,之后,以3℃/分钟的速度,降温到600℃。热处理后的硅基板利用魔镜(magic mirror)装置观察平滑裂隙。
根据观察结果,在使用了发明例No.1的夹具的硅基板的内部产生了薄的十字形状的平滑裂隙,对此,在使用了比较例No.1的夹具的硅基板中,在与舟开口部侧的舟支撑部相对应的位置发生大的平滑裂隙。
从该结果可以确认,即使被搭载于热处理舟时的挠曲变位量是本发明规定的范围,夹具厚度薄,在偏出本发明规定的范围的比较例No.1的夹具中,发生大的平滑裂隙。
(实施例2)
作为发明例No.2的夹具,制作了五十个SiC制的圆板结构的热处理夹具,其直径为319mm、厚度为2.0mm、挠曲变位量为30μm~60μm、以及表面粗糙度为1.5μm~2.0μm。
在将得到的发明例No.2的夹具以间距12mm搭载于纵型热处理舟之后,实施与实施例1相同的热处理。热处理后的硅基板利用魔镜装置观察平滑裂隙,结果是大部分的硅基板在外周部发生了平滑裂隙。
接着,在将相同的发明例No.2的夹具以间距12mm搭载于纵型热处理舟之后,在和上述相同的条件下实施了热处理,但将1000℃~1200℃的升降温速度改变为1.5℃/分钟。观察热处理后的硅基板,结果是在外周部产生的平滑裂隙大幅度降低。
进而,作为发明例No.3的夹具,在上述发明例No.2的夹具的中央部在220mm的区域实施了开孔加工。在将得到的发明例No.3的夹具以间距12mm搭载于纵型热处理舟之后,实施与实施例1相同的热处理。观察热处理后的硅基板,结果是在大部分的硅基板的环内周部的相当于端面的区域发生了4到12条左右的平滑裂隙。
但是,通过使用实施了贯通孔的发明例No.3的夹具,与使用发明例No.2的夹具的情况相比,确认到降低了施加于硅基板的热应力,可大幅度降低平滑裂隙的发生。
(实施例3)
进而,利用在上述实施例2实施了开口加工的剩余材料,制作了下述的发明例No.4~6的夹具。
首先,作为发明例No.4的夹具,制作了十个SiC制的圆板结构的热处理夹具,其直径为210mm、厚度为1.8mm、挠曲变位量为30μm~60μm、以及表面粗糙度为2.1μm~2.3μm。
接着,作为发明例No.5的夹具,制作了十个SiC制的环结构的热处理夹具,其直径为210mm、内径为205mm、厚度为1.8mm、挠曲变位量为30μm~60μm、以及表面粗糙度为2.1μm~2.2μm。
进而,作为发明例No.6的夹具,制作了十个SiC制的环结构的热处理夹具,其直径为210mm、内径为200mm、厚度为1.8mm、挠曲变位量为30μm~60μm、以及表面粗糙度为2.0μm~2.3μm。
在这些三水准的发明例No.4~6的夹具上积载直径为300mm的硅基板,在与实施例1相同的条件下实施热处理。观察热处理后的硅基板,结果是得到如下良好的结果,即,无论是使用了哪个夹具的硅基板,只产生了几条薄的平滑裂隙。
(实施例4)
为了确认随着反复热处理的挠曲变位量的变化情况,作为发明例No.7的夹具,制作了使用了多结晶硅基材的圆板结构的热处理夹具,其直径为210mm、厚度为1.8mm、挠曲变位量为20μm~40μm、以及表面粗糙度为2.1μm~2.3μm。
利用得到的发明例No.7的夹具,在加热温度为1350℃、加热时间为10小时的SIMOX热处理的条件下,对硅基板进行了热处理。在此,作为热处理氛围气体是在氩气中含有3%氧气的气体条件下实施的。观察热处理后的硅基板,结果是没有产生平滑裂隙、或者是产生有几条薄的平滑裂隙的程度。
利用相同的发明例No.7的夹具,若反复进行3~5次热处理,则随着热处理次数的增加平滑裂隙的发生变多,在最终热处理时被搭载于热处理舟时的挠曲变位量为200μm~400μm。因此,为了防止平滑裂隙的发生,在每次的热处理时,需要确认在被搭载于热处理舟的状态下的热处理夹具的挠曲变位量在本发明规定的范围内。
另一方面,关于夹具的寿命,确认到由于通过增加夹具的厚度可以提高刚性,所以通过最大增厚到6mm左右,可实现寿命延长。
(实施例5)
同样地,为了确认随着反复热处理的挠曲变位量的变化情况,作为发明例No.8的夹具,以硅材料制作了在实施例3使用的发明例No.5的环结构的夹具(直径为210mm、内径为205mm、厚度为1.8mm、挠曲变位量为30μm~60μm、以及表面粗糙度为2.1μm~2.2μm)。
接着,在由直径为319mm、内径为200mm、厚度为1.5mm、挠曲变位量为50μm、以及表面粗糙度为2.0μm~2.2μm构成的SiC制的环结构的热处理夹具上,设置了得到的发明例No.8的夹具。之后,与实施例4同样地反复实施热处理。在反复热处理之后观察平滑裂隙,平滑裂隙的发生状况良好,虽然反复进行了多次的热处理,但没有显著地多发平滑裂隙。
(实施例6)
为了确认在硅基板上的热氧化膜的成长抑制的举动,在所述实施例2中使用的发明例No.2的夹具(由直径为319mm、厚度为2.0mm、挠曲变位量为30μm~60μm、以及表面粗糙度为1.5μm~2.0μm构成的SiC制的圆板结构)上,积载了在所述实施例4中使用的发明例No.7的夹具(由直径为210mm、厚度为1.8mm、挠曲变位量为20μm~40μm、以及表面粗糙度为2.1μm~2.3μm构成的硅制的圆板结构)。
同样地,在实施例2中使用的发明例No.2的夹具上,积载了在所述实施例5中使用的发明例No.8的夹具(由直径为210mm、内径为205mm、厚度为1.8mm、挠曲变位量为30μm~60μm、以及表面粗糙度为2.1μm~2.2μm构成的硅制的环结构)。
在被积载了的发明例No.7的夹具(圆板结构的热处理夹具)以及发明例No.8的夹具(环结构的热处理夹具)上,进一步搭载外径为300mm的硅基板,进行了SIMOX热处理。SIMOX热处理的条件和实施例4相同,加热温度为1350℃,加热时间为10小时,但氛围气体含有50%的氧气。
对在SIMOX热处理后的硅基板表面生长的氧化膜厚度进行测量,结果是在基板表面,热氧化膜的厚度约为990nm。在没有和夹具接触的基板背面,其厚度也大约为990nm。
但是,在和发明例No.7的夹具(圆板结构的热处理夹具)接触的区域,在从接触开始部大约10mm的范围内,热氧化膜的厚度减少到大约980nm,在硅基板的中央部,其厚度减少到大约935nm。另一方面,在和发明例No.8的夹具(环结构的热处理夹具)接触的区域,没有发现热氧化膜的厚度的变化。
(实施例7)
确认到为了避免热氧化膜的成长抑制,设置狭缝或贯通孔是有效的,因此,作为发明例No.9的夹具,在直径为210mm、厚度为1.8mm、挠曲变位量为40μm、以及表面粗糙度为2.6μm的SiC制的圆板结构的热处理夹具的表面,以5.0mm间隔加工了深度为0.5mm、宽度为3.0mm的狭缝。
同样地,作为发明例No.10的夹具,在直径为210mm、厚度为1.8mm、挠曲变位量为40μm、以及表面粗糙度为2.6μm的SiC制的圆板结构的热处理夹具的表面,以7.5mm间隔加工了直径为3.0mm的贯通孔。
利用得到的发明例No.9的夹具和发明例No.10的夹具,对硅基板实施了SIMOX热处理。测量了热处理后的硅基板的热氧化膜的厚度,结果是确认到在使用了发明例No.9的夹具及发明例No.10的夹具时,在与硅基板接触的区域,都几乎没有观察到热氧化膜厚度的减少,硅基板的表背面都是大约从980nm到990nm的均匀的膜厚,膜厚的变化率不足3%。
工业实用性
根据本发明的半导体硅基板用热处理夹具,夹具形状是环结构或圆板结构,其厚度在1.5mm以上、6.0mm以下,被搭载于热处理舟时的和所述半导体硅基板接触的区域的挠曲变位量在100μm以下,与半导体硅基板接触并对其进行保持的夹具的外径在该半导体硅基板的直径65%以上,和半导体硅基板接触的面的表面粗糙度(Ra值)在1.0μm以上、100μm以下,由此,在有效地降低平滑裂隙的发生的同时,避免基板背面的热氧化膜的成长抑制,能够消除在设备制造的光刻工序中成为散焦的原因的表面阶梯差。由此,能够维持半导体硅基板的高品质,并且可以大幅度地提高设备成品率,可以作为硅基板用热处理夹具而广泛地适用。
Claims (7)
1.一种半导体硅基板用热处理夹具,其与半导体硅基板接触并对该半导体硅基板进行保持,并被搭载于纵型热处理炉的热处理舟,
其特征在于,
夹具形状是环结构或圆板结构,其厚度为1.5mm以上、6.0mm以下,
在被搭载于所述热处理舟时的和所述半导体硅基板接触的区域的挠曲变位量在100μm以下,
与所述半导体硅基板接触并对该半导体硅基板进行保持的夹具的外径在该半导体硅基板的直径的65%以上,
与所述半导体硅基板接触的面的表面粗糙度(Ra值)在1.0μm以上、100μm以下。
2.根据权利要求1所述的半导体硅基板用热处理夹具,其特征在于,
在夹具形状是环结构时,其环宽度为0.5mm以上。
3.根据权利要求1所述的半导体硅基板用热处理夹具,其特征在于,
在夹具形状是圆板结构时,在其中心部设置锪孔。
4.根据权利要求1所述的半导体硅基板用热处理夹具,其特征在于,
在夹具形状是圆板结构时,在和所述半导体硅基板接触并对该半导体硅基板进行保持的区域构成凸部形状。
5.根据权利要求1~4的任一项所述的半导体硅基板用热处理夹具,其特征在于,
在和所述半导体硅基板接触并对该半导体硅基板进行保持的区域以规定的间隔设置狭缝。
6.根据权利要求1~4的任一项所述的半导体硅基板用热处理夹具,其特征在于,
在和所述半导体硅基板接触并对该半导体硅基板进行保持的区域均等地配置贯通孔。
7.根据权利要求1~6的任一项所述的半导体硅基板用热处理夹具,其特征在于,
由硅材料、在硅材料堆积了碳化硅膜的材料、及碳化硅材料中的任一种材料,构成和所述半导体硅基板接触并对该半导体硅基板进行保持的区域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2004182160A JP4534619B2 (ja) | 2004-06-21 | 2004-06-21 | 半導体シリコン基板用熱処理治具 |
JP182160/2004 | 2004-06-21 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1969376A true CN1969376A (zh) | 2007-05-23 |
CN100543936C CN100543936C (zh) | 2009-09-23 |
Family
ID=35480992
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2005800195668A Active CN100543936C (zh) | 2004-06-21 | 2005-06-17 | 半导体硅基板用热处理夹具 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7210925B2 (zh) |
EP (1) | EP1780774B1 (zh) |
JP (1) | JP4534619B2 (zh) |
CN (1) | CN100543936C (zh) |
TW (1) | TW200605292A (zh) |
WO (1) | WO2005124839A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102732955A (zh) * | 2012-06-21 | 2012-10-17 | 中国科学院半导体研究所 | 一种用于电子束蒸发的半导体外延片夹具 |
CN108281338A (zh) * | 2018-01-26 | 2018-07-13 | 长春理工大学 | 用于硅微通道板基体整体氧化防变形约束装置 |
Families Citing this family (381)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100517612C (zh) * | 2003-04-02 | 2009-07-22 | 株式会社上睦可 | 半导体晶片用热处理夹具 |
WO2006013808A1 (ja) * | 2004-08-06 | 2006-02-09 | Hitachi Kokusai Electric Inc. | 熱処理装置及び基板の製造方法 |
JP4833074B2 (ja) * | 2004-09-30 | 2011-12-07 | 株式会社日立国際電気 | 熱処理装置、熱処理方法、基板の製造方法及び半導体装置の製造方法 |
US20060144337A1 (en) * | 2005-01-06 | 2006-07-06 | Hsien-Che Teng | Heater for heating a wafer and method for preventing contamination of the heater |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
DE102006055038B4 (de) * | 2006-11-22 | 2012-12-27 | Siltronic Ag | Epitaxierte Halbleiterscheibe sowie Vorrichtung und Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe |
US8042697B2 (en) * | 2008-06-30 | 2011-10-25 | Memc Electronic Materials, Inc. | Low thermal mass semiconductor wafer support |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
DE112009003755B4 (de) * | 2008-12-17 | 2016-02-04 | Honda Motor Co., Ltd. | Fördervorrichtung, Verfahren zum Herstellen einer Fördervorrichtung und Verfahren zum Wärmebehandeln von Metallringen unterVerwendung einer Fördervorrichtung |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP2010245440A (ja) * | 2009-04-09 | 2010-10-28 | Sumco Corp | ウェーハ熱処理方法及び熱処理用縦型ボート |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US20120276746A1 (en) * | 2011-04-27 | 2012-11-01 | Denso Corporation | Manufacturing method of semiconductor device and apparatus for manufacturing semiconductor device |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5358651B2 (ja) * | 2011-11-09 | 2013-12-04 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9202727B2 (en) * | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
KR101694333B1 (ko) * | 2016-07-06 | 2017-01-17 | 주식회사 로이첸 | 의류 수납기구 |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10622243B2 (en) * | 2016-10-28 | 2020-04-14 | Lam Research Corporation | Planar substrate edge contact with open volume equalization pathways and side containment |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7030604B2 (ja) | 2018-04-19 | 2022-03-07 | 三菱電機株式会社 | ウエハボートおよびその製造方法 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
JP7270045B2 (ja) * | 2019-08-06 | 2023-05-09 | 株式会社Kokusai Electric | 基板処理装置、基板支持具、半導体装置の製造方法およびプログラム |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112899662A (zh) * | 2019-12-04 | 2021-06-04 | 江苏菲沃泰纳米科技股份有限公司 | Dlc制备装置和制备方法 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
JP7431487B2 (ja) * | 2020-03-05 | 2024-02-15 | クアーズテック合同会社 | 縦型ウエハボート及び縦型ウエハボートの製造方法 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0992625A (ja) * | 1995-09-20 | 1997-04-04 | Tokyo Electron Ltd | 熱処理用ボ−ト |
JPH09199438A (ja) | 1996-01-12 | 1997-07-31 | Tokyo Electron Ltd | 熱処理用治具 |
JPH10242067A (ja) | 1997-03-03 | 1998-09-11 | Tokyo Electron Ltd | 熱処理用基板支持具 |
JP3692697B2 (ja) * | 1997-03-25 | 2005-09-07 | 三菱住友シリコン株式会社 | ウェハ支持体及び縦型ボート |
JPH10321543A (ja) * | 1997-05-20 | 1998-12-04 | Sumitomo Metal Ind Ltd | ウェハ支持体及び縦型ボート |
KR20000002833A (ko) * | 1998-06-23 | 2000-01-15 | 윤종용 | 반도체 웨이퍼 보트 |
JP4003906B2 (ja) * | 1999-03-19 | 2007-11-07 | コバレントマテリアル株式会社 | シリコン単結晶半導体ウエハ加熱処理用治具及びこれを用いたシリコン単結晶半導体ウエハ加熱処理用装置 |
JP3942317B2 (ja) | 1999-08-20 | 2007-07-11 | 東芝セラミックス株式会社 | 半導体ウェーハ熱処理用保持具および熱処理方法 |
JP4467028B2 (ja) * | 2001-05-11 | 2010-05-26 | 信越石英株式会社 | 縦型ウェーハ支持治具 |
JP3554297B2 (ja) * | 2001-07-26 | 2004-08-18 | 株式会社エフティーエル | 半導体基板熱処理装置及び半導体素子の製造方法 |
JP2003100648A (ja) | 2001-09-21 | 2003-04-04 | Toshiba Ceramics Co Ltd | 半導体ウエハ熱処理用治具 |
JP2003197722A (ja) | 2001-12-26 | 2003-07-11 | Toshiba Ceramics Co Ltd | 半導体ウェーハ熱処理用治具及びこれを用いた熱処理用装置並びに半導体ウェーハ熱処理用治具の製造方法 |
US7077913B2 (en) * | 2002-01-17 | 2006-07-18 | Hitachi Kokusai Electric, Inc. | Apparatus for fabricating a semiconductor device |
JP2003249458A (ja) | 2002-02-26 | 2003-09-05 | Kyocera Corp | ウェハ保持リング |
JP4282268B2 (ja) * | 2002-03-26 | 2009-06-17 | 株式会社日立国際電気 | 基板処理装置、及び半導体装置の製造方法 |
US7256375B2 (en) * | 2002-08-30 | 2007-08-14 | Asm International N.V. | Susceptor plate for high temperature heat treatment |
CN100517612C (zh) * | 2003-04-02 | 2009-07-22 | 株式会社上睦可 | 半导体晶片用热处理夹具 |
WO2005104204A1 (ja) * | 2004-04-21 | 2005-11-03 | Hitachi Kokusai Electric Inc. | 熱処理装置 |
-
2004
- 2004-06-21 JP JP2004182160A patent/JP4534619B2/ja not_active Expired - Lifetime
-
2005
- 2005-01-24 US US11/039,968 patent/US7210925B2/en active Active
- 2005-06-17 WO PCT/JP2005/011137 patent/WO2005124839A1/ja active Application Filing
- 2005-06-17 CN CNB2005800195668A patent/CN100543936C/zh active Active
- 2005-06-17 EP EP05750967A patent/EP1780774B1/en active Active
- 2005-06-20 TW TW094120482A patent/TW200605292A/zh unknown
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102732955A (zh) * | 2012-06-21 | 2012-10-17 | 中国科学院半导体研究所 | 一种用于电子束蒸发的半导体外延片夹具 |
CN102732955B (zh) * | 2012-06-21 | 2015-04-01 | 中国科学院半导体研究所 | 一种用于电子束蒸发的半导体外延片夹具 |
CN108281338A (zh) * | 2018-01-26 | 2018-07-13 | 长春理工大学 | 用于硅微通道板基体整体氧化防变形约束装置 |
Also Published As
Publication number | Publication date |
---|---|
US7210925B2 (en) | 2007-05-01 |
US20050282101A1 (en) | 2005-12-22 |
CN100543936C (zh) | 2009-09-23 |
TW200605292A (en) | 2006-02-01 |
EP1780774B1 (en) | 2011-10-05 |
EP1780774A4 (en) | 2009-12-09 |
JP2006005274A (ja) | 2006-01-05 |
JP4534619B2 (ja) | 2010-09-01 |
EP1780774A1 (en) | 2007-05-02 |
TWI292937B (zh) | 2008-01-21 |
WO2005124839A1 (ja) | 2005-12-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1969376A (zh) | 半导体硅基板用热处理夹具 | |
CN100352032C (zh) | 热处理用晶片支持器具及热处理装置 | |
CN1771588A (zh) | 半导体晶片用热处理夹具 | |
US7484958B2 (en) | Vertical boat for heat treatment and method for producing the same | |
TWI242248B (en) | Holder and method for thermal treating semiconductor substrate | |
KR101537960B1 (ko) | 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법 | |
CN107924821B (zh) | 外延成长装置、外延晶片的制造方法以及外延成长装置用顶升销 | |
KR20170134216A (ko) | 기판유지장치 및 그 제조방법 | |
CN1653591A (zh) | 在包含基座的处理室中加热半导体基板的工艺和系统 | |
US8469703B2 (en) | Vertical boat for heat treatment and heat treatment method of semiconductor wafer using thereof | |
CN1748312A (zh) | Soi晶片的制造方法及soi晶片 | |
TW200908199A (en) | Wafer supporting jig, vertical heat treatment boat including the same, and manufacturing method of wafer supporting jig | |
KR101548903B1 (ko) | 리프트 핀 및 이의 제조 방법 | |
KR20070083813A (ko) | 열처리용 종형 보트 및 열처리 방법 | |
KR20100014966A (ko) | 종형 열처리용 보트와, 반도체 웨이퍼의 열처리 방법 | |
CN1314970C (zh) | 探针装置以及其制造方法 | |
CN1720119A (zh) | 软质材料加工用切削工具 | |
US20190321932A1 (en) | Quartz glass member with increased exposed area, method for manufacturing same, and blade with multiple peripheral cutting edges | |
JP2007036105A (ja) | シリコンウェーハ用サセプタ | |
JP5087375B2 (ja) | 炭化ケイ素半導体デバイスの製造方法 | |
JP2000208428A (ja) | 縦型ウエハボ―ト | |
JP2003100648A (ja) | 半導体ウエハ熱処理用治具 | |
CN1452191A (zh) | 电子部件用陶瓷的热处理用夹具及其制造方法 | |
JP2004221096A (ja) | 拡散ウエハおよびその製造方法 | |
JP2007005643A (ja) | 半導体基板支持体 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |