KR100816180B1 - 반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법 - Google Patents

반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법 Download PDF

Info

Publication number
KR100816180B1
KR100816180B1 KR1020067008736A KR20067008736A KR100816180B1 KR 100816180 B1 KR100816180 B1 KR 100816180B1 KR 1020067008736 A KR1020067008736 A KR 1020067008736A KR 20067008736 A KR20067008736 A KR 20067008736A KR 100816180 B1 KR100816180 B1 KR 100816180B1
Authority
KR
South Korea
Prior art keywords
jig
heat treatment
semiconductor substrate
holder
semiconductor
Prior art date
Application number
KR1020067008736A
Other languages
English (en)
Other versions
KR20060086372A (ko
Inventor
나오시 아다치
가즈시 요시다
요시로 아오키
Original Assignee
가부시키가이샤 섬코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 섬코 filed Critical 가부시키가이샤 섬코
Publication of KR20060086372A publication Critical patent/KR20060086372A/ko
Application granted granted Critical
Publication of KR100816180B1 publication Critical patent/KR100816180B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • H01L21/67309Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 반도체 기판용 열처리 치구에 따르면, 반도체 기판과 직접 접촉하여 지지하는 실리콘 재료로 구성되는 제1 치구와, 이 제1 치구를 유지함과 동시에 열처리 보트에 재치하기 위한 제2 치구(홀더)로 이루어지는 2분할 구조로 구성하고, 또한 치구 재료의 최적화를 도모함과 동시에, 표면 조도와 표면 평탄도를 규정함으로써, 열처리 시에 반도체 기판의 특정 개소로의 응력 집중을 억제하여, 치구의 변형을 저감할 수 있다. 이에 따라, 자중 응력이 큰 반도체 웨이퍼를 열처리하는 경우, 또 열응력이 큰 조건에서 열처리하는 경우라도, 슬립의 발생을 유효하게 방지할 수 있어, 안정된 반도체 기판용 열처리로서 널리 적용할 수 있다.

Description

반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법{JIG FOR HEAT TREATING SEMICONDUCTOR SUBSTRATE AND METHOD FOR HEAT TREATING SEMICONDUCTOR SUBSTRATE}
본 발명은, 종형(從型) 열처리로(爐)의 열처리 보트에 이용되는 열처리 치구 및 열처리 방법에 관한 것으로, 보다 상세하게는, 반도체 기판을 고온에서 열처리할 때에, 결정 결함으로서 발생하는 슬립(slip)을 억제할 수 있는 반도체 기판용 열처리 치구, 및 이를 이용한 열처리 방법에 관한 것이다.
LSI 디바이스 제조 프로세스로 처리되는 반도체 기판은, 산화, 확산 및 성막 등의 공정에서, 고온의 열처리를 반복하여 제조된다. 이 열처리 시에, 반도체 기판의 면내에 불균일한 온도 분포가 발생하면, 그에 수반하여 반도체 기판에 열응력이 발생한다.
한편, 반도체 기판의 지지 방법에 따라서는, 웨이퍼(기판) 중량에 기인하여 발생하는 응력(이하, 간단히「자중 응력」이라 한다)이 발생한다. 종래의 열처리용 보트에서는, 실리콘 기판의 외측 둘레부만을 지지하는 방식인 경우에는, 직경 300mm의 반도체 기판을 열처리하면 자중 응력의 발생이 현저하여, 사용하는 것이 곤란하게 된다.
상기한 열응력이나 자중 응력은, 열처리에 있어서 반도체 기판 중에 슬립이라고 불리는 결정 결함을 일으킨다는 것을 알고 있다. 슬립은 LSI 디바이스의 리크 전류의 증가나 반도체 기판 평탄성의 열화의 원인이 된다. 반도체 기판의 품질 특성을 확보하는 데에는, 슬립의 발생을 억제하기 위해, 이들 열응력이나 자중 응력을 저감시키는 것이 중요하다.
반도체 기판의 열처리 시에, 종형 열처리로는 설치 스페이스를 작게 할 수 있어, 대직경의 반도체 기판을 다량으로 열처리하는 데에 적합하기 때문에, 반도체 기판의 각종 열처리에 이용되는 장치로서 채용되고 있다.
도 1은 종형 열처리로에 이용되는 반도체 기판용 열처리 보트의 구성예를 도시하는 도면이다. 열처리 보트(1)는, 3개 이상의 지주(支柱)(3)와 이 지주(3)를 상하 위치에서 고정하는 상부 천판(5), 및 하부 천판(6)으로 구성되어 있으며, 개구부(2)가 설치되어 있다. 상기 지주(3)에는 기판 지지부(4)가 배열 설치되고, 개구부(2) 측으로부터 반도체 기판을 기판 지지부(4)에 재치한 후, 종형 열처리로에 삽입되어 소정의 열처리가 이루어진다.
도 1에 도시하는 바와 같이, 열처리 보트(1)는, 이간 배치된 상하 한 쌍의 천판(5, 6)과, 이들을 연결하는 복수 라인의 지주(3)로 구성되기 때문에, 반도체 기판을 기판 지지부(4)에 재치하거나, 또는 취출하기 위한 개구부(2)를 설치하는 것이 필요하다. 따라서, 통상, 개구부(2) 측에 설치되는 2개의 지주(3)는, 반도체 기판의 재치 또는 취출을 용이하게 할 수 있으므로, 반도체 기판의 직경에 상당하는 정도로 이간되게 배치된다.
소구경(小口徑)의 반도체 기판의 열처리 시에는, 상기 도 1에 도시한 열처리 보트(1)를 이용하여, 기판 이면의 외측 둘레부를 3점 또는 4점 정도의 복수 점에서 지지하는 방식이 널리 사용되어 왔다. 그러나, 최근의 반도체 기판의 대(大)구경화에 수반하여, 발생하는 자중 응력이 대폭 증대하게 되면, 상기 도 1에 도시한 열처리 보트(1)를 이용하여 기판 이면의 외측 둘레부를 3점 또는 4점 정도에서 지지하는 방식에서는,지지 위치에서의 자중 응력의 증대에 맞추어, 자중 응력의 불균일 분포가 촉진되어, 슬립의 발생이 현저하게 된다.
이 때문에, 최근에는, 반도체 기판의 대구경화에 수반하는 슬립의 발생을 저감하기 위해, 반도체 기판의 이면 내부를 복수 점에서 지지하는 치구, 또는 반도체 기판과 링 형상으로 선접촉 혹은 면접촉으로 지지하는 치구가 개발되어 실용화되고 있다.
실용화된 반도체 기판의 이면 내부를 지지하는 치구를 이용함으로써, 반도체 기판을 열처리할 때에 발생하는 슬립을 저감시킬 수 있다. 그러나, 이들 접촉 방식을 변경시킨 구조를 갖는 열처리 치구를 사용함으로써, 정밀도 있게 슬립을 저감시키기 때문에, 새로운 문제가 발생한다.
예를 들면, 반도체 기판의 이면과 지지 치구가 접착함으로써, 반도체 기판 및 지지 치구 각각의 변형이 구속되기 때문에, 열응력 또는 자중 응력을 상회하는 정도의 큰 응력이 새롭게 반도체 기판에 부가되는 경우가 있다. 이러한 경우에는, 새롭게 부가되는 응력이 슬립 발생의 원인이 된다.
새로운 슬립 발생의 원인으로서, 열처리 치구 자체의 가공 정밀도에 기인하 는 것이 있으며, 특히, 반도체 기판의 이면과 지지 치구가 접촉하는 영역에서의 표면의 평탄도와 표면 조도에 크게 의존하고 있다.
통상, 고온 열처리에 사용되는 열처리 치구는, 실리콘 카바이드로 제작되어 있다. 이 때문에, 반도체 기판과 지지 치구가 접촉하는 영역에서의 평탄도는 200㎛ 이하이고, 치구 표면은 국부적으로 표면 요철부가 집합한 구조로 되어 있다.
그래서, 본 발명자들은, 두께 1mm 미만의 실리콘 카바이드제의 홀더를 설치하여, 그 위에 반도체 기판보다 소직경인 실리콘 또는 실리콘 카바이드로 이루어진 링 또는 원판 치구를 적재하고, 또한 그 위에 반도체 기판을 재치하여 열처리를 행하는 방법을 제안하고 있다(일본국 특개 2001-358086호 공보, 참조).
마찬가지로, 본 발명자들은, 기상 성장법에 이용되는 실리콘 카바이드제의 열처리 치구 상에, 반도체 기판 이면의 중심부를 지지하기 위한 중앙 돌기부와 이 기판 이면을 지지하기 위한 적어도 1개의 원호부를 배치하고, 반도체 기판과 접촉하는 중앙 돌기부와 원호부 접촉면의 전체 또는 일부에 캡핑 부재를 배치한 열처리 치구를 개시하고 있다(일본국 특개2003-037112호 공보, 참조).
한편, 본 발명자들이 이루어낸 것은 아니지만, 일본국 특개평9-199438호 공보나 일본국 특개평10-270369호 공보에서는, 원판 형상 또는 링 형상을 구비한 지지 치구를 이용하여, 이들 지지 치구에 실리콘 기판을 재치하여 열처리하는 것이 기재되어 있다. 그러나, 이들 공보에는, 원판 형상 또는 링 형상의 지지 치구의 평탄도나 요철부의 집합체에 관한 기재가 없다.
상술한 일본국 특개2001-358086호 공보에서 제안된 열처리 방법에서는, SIMOX 기판의 열처리와 같은 처리 온도가 1300℃를 넘고, 유지 시간이 10시간보다 길어지는 초고온의 열처리 조건이 되면, 홀더를 구성하는 실리콘 카바이드 자체의 강도가 약해진다. 이 때문에, 홀더의 두께는 1mm 미만으로, 휨을 억제할 수 없어, 홀더가 지지하는 링 또는 원판 치구에도, 마찬가지로 휨이 발생한다. 그리고, 최종적으로, 반도체 기판에도 슬립이 발생한다.
상술한 바와 같이, 반도체 기판에 슬립이 발생하는 것은, 초고온의 열처리 조건 하에서의 실리콘 카바이드제의 홀더의 강도 부족, 또한 홀더가 유지하는 링 또는 원판 치구의 강도 부족에 기인한 것이다. 또, 반도체 기판과의 접촉 조건에 따라서는, 소직경 원판 또는 링 치구와 반도체 기판과의 접촉면에서의 접착도 견고하게 되어, 국소적인 접착에 기인한 슬립도 발생한다.
일본국 특개2003-037112호 공보에서 개시하는 치구를 이용하여, 홀더 상의 원호 높이와 중앙 돌기부의 높이를 정밀도 있게 제작하더라도, 고온 열처리 시에 발생하는 홀더의 휨에 의하여, 홀더 상의 원호 높이와 중앙 돌기부의 높이에 오차가 발생하여, 슬립을 저감시키는 것은 곤란하게 된다.
고온 열처리 시에 발생하는 오차를 저감하기 위해, 소결 실리콘 카바이드로부터 두꺼운 홀더를 깎아내어, 휨이 쉽게 발생하지 않는 중앙 돌기부와 원호부를 제작하는 것도 검토할 수 있지만, 제조 비용이 증가하여, 공업적 양산에 적용할 수 있는 것은 아니다.
또, 일본국 특개평9-199438호 공보나 일본국 특개평10-270369호 공보 등에 기재되는 원판 형상 또는 링 형상의 지지 치구를 이용하더라도, 초고온의 열처리 조건이 되면, 반도체 기판에 슬립이 발생해 있다.
이와 같이, 단순히 원판 형상 또는 링 형상의 지지 치구를 이용함으로써는, 열처리에 수반하여 발생하는 슬립을 억제하지는 못한다.
본 발명은, 상술한 문제점에 감안하여 이루어진 것으로, 종형 열처리로의 열처리 보트를 이용하여 반도체 기판을 열처리하는 경우에, 반도체 기판에 부가되는 응력을 저감하여, 슬립의 발생을 억제할 수 있는, 반도체 기판용 열처리 치구 및 이를 이용한 반도체 기판의 열처리 방법을 제공하는 것을 목적으로 하고 있다.
본 발명자들은, 상기한 목적을 달성하기 위해, 여러 가지 검토한 결과, 종형 열처리로의 열처리 보트에 이용하여 열처리를 행하는 경우에, 열처리 보트에 재치되는 열처리 치구를, 반도체 기판과 직접 접촉하여 지지하는 제1 치구와, 이 제1 치구를 유지함과 동시에 열처리 보트에 재치하는 제2 치구(이하, 간단히「홀더」라고 한다)로 구성하는 것이 유효하다는 것에 착안하였다.
또한, 검토를 추진하여, 치구 재료의 최적화로서, 제1 치구는 실리콘 재료로 구성하고, 홀더는 고온 강도에 강한 재료, 예를 들면, 실리콘 카바이드를 이용하는 것을 필수로 하고, 또한, 이들 제1 치구 및 홀더의 표면 조도와 표면 평탄도를 규정하는 것이 바람직하다는 것을 지견하였다.
실리콘 재료로 이루어진 제1 치구는, 반도체 기판과 직접 접촉하여 지지하는 영역 표면의 평탄도를 100㎛ 이하, 바람직하게는 50㎛ 이하로 함으로써, 안정되게 반도체 기판을 지지할 수 있다. 표면 평탄도가 100㎛을 초과하게 되면, 반도체 기판과의 접촉이 점접촉이 되기 쉬워, 슬립이 발생하기 쉽게 된다.
본 발명에서 규정하는 평탄도는, JIS B 0621에 규정하는 평탄도를 이용하기로 한다.
제1 치구의 반도체 기판과 직접 접촉하는 영역에서의 두께는, 0.5mm∼10mm로 하고, 바람직하게는 0.7mm∼5.0mm로 한다. 두께가 0.5mm 미만에서는 치구의 가공 시에 파손이 빈발하여, 치구 제작 시의 수율 저하가 커지게 됨과 동시에, 홀더의 평탄도에 크게 영향을 받는다. 한편, 두께가 10mm를 초과하면, 열처리 보트당 재치할 수 있는 반도체 기판의 매수가 감소하여, 열처리의 생산성을 저하시키게 된다.
제1 치구에서의 반도체 기판과 직접 접촉하는 영역에서의 표면 조도는, 0.02㎛∼10㎛로 하고, 바람직하게는 5.0㎛ 이하로 한다. 표면 조도가 0.02㎛ 미만이면, 반도체 기판과 접착하기 쉽고, 10㎛보다 큰 경우에는, 표면 조도를 확보하기 위한 블래스트 처리 시에 치구가 파손될 우려가 있다.
제1 치구에서는, 홀더와 접촉하는 영역에서도, 표면 조도를 0.02㎛∼10㎛로 하고, 바람직하게는 5.0㎛ 이하로 하는 것이 좋다. 상기 반도체 기판과 직접 접촉하는 영역에서의 표면 조도의 경우와 동일한 이유에 기인한다.
본 발명에서 규정하는 표면 조도는, JIS B 0601에 규정하는 중심선 평균 조도(Ra)로 표시하고 있다.
제1 치구의 구조는, 원판 형상 또는 링 형상 등으로 이루어지고, 반도체 기판을 안정되게 지지할 수 있는 구조이면, 어떠한 구조라도 적용할 수 있다. 링 형상 또는 말발굽 형상으로 이루어진 치구 구조의 경우에는, 반도체 기판과 접촉하는 치구의 폭, 즉, 링 폭 또는 말발굽 폭은 0.5mm 이상으로 하는 것이 바람직하다.
또, 제1 치구에서는, 반도체 기판과 직접 접촉하는 영역 표면에 실리콘 카바이드막, 산화막, 또는 다결정 실리콘막 중 어느 하나를 형성함으로써, 슬립 저감을 도모할 수 있다.
홀더와 제1 치구가 직접 접촉하는 영역 표면의 평탄도는, 200㎛ 이하, 바람직하게는 1OO㎛ 이하로 함으로써, 안정되게 제1 치구를 유지할 수 있다. 즉, 홀더의 평탄도를 200㎛ 이하로 함으로써, 고온 열처리 중에 제1 치구가 홀더의 평탄도에 따라 소성 변형되는 것을 방지할 수 있다.
홀더에서의 제1 치구와 직접 접촉하는 영역에서의 두께는, 0.5mm∼10mm로 한다. 두께가 0.5mm 미만이면, 홀더의 평탄도를 정밀도 있게 가공할 수 없으며, 한편, 두께가 1Omm를 초과하면, 열처리 보트 내의 열용량이 증가하여, 가열 효율의 열화나 열처리 보트에 재치할 수 있는 반도체 기판의 매수가 감소한다.
홀더에서의 제1 치구와 직접 접촉하는 영역에서의 표면 조도는, 실리콘 재료로 이루어진 제1 치구와의 접착을 방지하기 위해, 0.02㎛∼10㎛로 하는 것이 바람직하다.
홀더가 채용하는 재료는, 사용하는 열처리 온도에 따라서 선택할 수 있다. 예를 들면, 1000℃ 이상에서 반복해서 열처리를 행하는 경우에는, 실리콘 카바이드 등을 채용하면 되고, 1000℃ 이하에서 열처리를 행하는 경우에는, 석영, 실리콘 재료 등을 채용하면 된다.
홀더의 구조는, 열처리 치구가 안정된 구조이면, 어떠한 구조도 적용할 수 있다. 예를 들면, 홀더의 열용량을 저감하기 위해, 링 구조로 하는 것도, 스폿 페이싱(spot facing) 구조로 하는 것도 가능하다.
또한, 열처리 치구를 장기적으로 안정되게 사용하기 위해서는, 홀더의 두께가 0.5mm∼1.0mm로 얇은 경우에는, 홀더가 지지하는 제1 치구의 두께를 1.Omm 이상으로 하는 것이 바람직하다. 또한, 홀더의 두께가 1.Omm를 초과하는 경우에는, 홀더가 지지하는 제1 치구의 두께를 0.5mm 이상으로 하는 것이 바람직하다.
상술한 구성을 채용함으로써, 본 발명의 반도체 기판용 열처리 치구는, 반도체 기판과 직접 접촉하여 지지하는 실리콘 재료로 구성되는 제1 치구와, 이 제1 치구를 유지함과 동시에 열처리 보트에 재치하기 위한 제2 치구(홀더)로 이루어진 2분할 구조로 구성된다. 이 구성에 의해, 이하에 설명하는 바와 같이, 반도체 기판에 발생하는 슬립을 효율적으로 억제할 수 있다.
예를 들면, 직경 300mm의 반도체 실리콘 기판을 종형 열처리로에 복수매 투입하여, 열처리하는 경우에, 직경 320mm의 실리콘 카바이드제의 홀더 상에, 직경 200mm의 단결정 실리콘 재료로 이루어진 원판 형상의 제1 치구를 재치하고, 그 위에 반도체 실리콘 기판을 탑재한 경우를 고찰한다.
열처리에 있어서, 홀더보다 소직경인 원판 형상의 제1 치구의 면내 온도차는, 홀더의 면내 온도차에 비해 작게 된다. 이 때문에, 반도체 실리콘 기판에 부가되는 열응력은, 원판 형상의 제1 치구를 설치함으로써 경감된다. 또한, 제1 치구는 단결정 실리콘 재료로 제작되어 있기 때문에, 반도체 실리콘 기판의 열팽창 계수도 동일하여, 열처리 중의 변형에 대해서도 유리하게 작용한다.
반도체 실리콘 기판의 이면과 제1 치구 사이에서 국소적인 접착이 발생한 경우, 상술한 바와 같이, 2분할 구조로 구성되어 있기 때문에, 홀더와 제1 치구 사이에서는 서로의 구속력이 작용하지 않아, 반도체 실리콘 기판은 팽창 계수가 다른 홀더의 영향을 받는 일이 없다.
바꾸어 말하면, 열처리에 있어서 반도체 실리콘 기판이 영향을 받는 것은, 열팽창 계수가 동일한 제1 치구뿐이며, 접착 영역에서 부가되는 응력을 저감할 수 있어, 슬립의 발생을 억제하는 것이 가능하게 된다. 또한, 반도체 실리콘 기판과 제1 치구에서는, 강도 면에서도 동등한 재료이기 때문에, 접촉 영역에서의 손상이나 피해가 쉽게 발생하지 않게 되며, 이러한 점에서 볼 때도, 슬립의 발생을 억제하는 효과를 발휘한다.
본 발명의 반도체 기판용 열처리 치구에서는, 반도체 실리콘 기판과 동일한 직경, 또는 큰 직경으로 구성된 제1 치구를 채용하더라도, 2분할 구조에 의한 효과를 얻을 수 있다. 상술한 바와 같이, 열팽창 계수 및 강도가 동일한 것에 추가하여, 반도체 실리콘 기판을 재치하는 치구가 분할되어 있기 때문에, 열처리 치구에 있어서의 두께 방향에서의 온도차는, 일체 구조의 그것에 비해, 보다 저감해 있으며, 홀더 및 제1 치구의 변형을 저감할 수 있는 것에 기인한다.
본 발명의 반도체 기판용 열처리 치구에 따르면, 실리콘 재료를 이용한 제1 치구의 최적화를 행함과 동시에, 이를 지지하는 홀더 자체도 최적화함으로써, SIMOX 열처리와 같은 초고온의 열처리 조건 하에서도 안정되게 사용할 수 있으며, 또 반도체 실리콘 기판에 발생하는 슬립을 저감할 수 있다.
열처리로가 비산화성 분위기, 예를 들면, 수소 가스 분위기나 아르곤 가스 분위기이고, 1000℃ 이상에서 장시간의 열처리를 행하는 경우에는, 실리콘 재료끼리의 강력한 접착을 방지하기 위해, 제1 치구의 표면에 산화막, 질화막, 또는 실리콘 카바이드막을 형성하는 것이 유효하다. 또, 열처리로가 산화 분위기라 하더라도, 마찬가지로, 표면에 막을 형성해도 되고, 막을 형성하지 않고 그대로 사용해도 된다.
열처리 시에, 반도체 실리콘 기판의 특정 위치에 슬립이 발생하는 경우에는, 그 특정 위치에 대응하는 제1 치구의 표면을 실리콘 재료 및 실리콘 카바이드 재료 등을 이용하여, 국소적으로 연삭 또는 연마함으로써, 슬립의 발생을 억제할 수 있다.
반도체 실리콘 기판과 제1 치구에 접착이 발생하면, 반도체 실리콘 기판의 일부가 박리되고, 제1 치구 표면에 고착되어 슬립의 원인이 되지만, 국소적으로 연삭 또는 연마함으로써, 제1 치구 표면에 고착된 박리물을 제거되어, 슬립의 원인을 해소할 수 있다.
상기의 구성을 채용함으로써, 본 발명의 반도체 기판용 열처리 치구에 따르면, 자중 응력이 큰 직경 300mm의 반도체 웨이퍼를 고온에서 열처리하는 경우라 하더라도, 결정 결함으로서 발생하는 슬립을 효율적으로 방지할 수 있다.
도 1은 종형 열처리로에 이용되는 반도체 기판용 열처리 보트의 구성예를 도 시한 도면,
도 2는 본 발명의 제1예인 열처리 치구(10)의 구성을 도시한 도면,
도 3은 본 발명의 제2예인 열처리 치구(20)의 구성을 도시한 도면,
도 4는 본 발명의 제3예인 열처리 치구(30)의 구성을 도시한 도면,
도 5는 본 발명의 제4예인 열처리 치구(40)의 구성을 도시한 도면,
도 6은 본 발명의 제5예인 열처리 치구(50)의 구성을 도시한 도면,
도 7은 본 발명의 제6예인 열처리 치구(60)의 구성을 도시한 도면,
도 8은 본 발명의 제7예인 열처리 치구(70)의 구성을 도시한 도면,
도 9는 본 발명의 제8예인 열처리 치구(80)의 구성을 도시한 도면,
도 10은 본 발명의 제9예인 열처리 치구(90)의 구성을 도시한 도면,
도 11은 상기 도 2에 도시한 열처리 치구(10)의 홀더(11)를 사용하지만, 실리콘 단결정으로 이루어진 링(21)을 사용하지 않는 비교예 1의 구성을 도시한 도면,
도 12는, 상기 도 3에 도시한 열처리 치구(20)의 홀더(12)를 사용하지만, 실리콘 단결정으로 이루어진 링(22)을 사용하지 않는 비교예 2의 구성을 도시한 도면,
도 13은, 상기 도 4에 도시한 열처리 치구(30)의 홀더(13)를 사용하지만, 실리콘 단결정으로 이루어진 링(23)을 사용하지 않는 비교예 3의 구성을 도시한 도면이다.
이하, 본 발명의 종형 열처리로의 열처리 보트에 재치되는 반도체 기판용 열처리 치구의 실시 형태를, 도면을 이용하여 구체적으로 설명하지만, 본 발명은, 이들 구체예에 한정되는 것은 아니다.
이하의 본 발명예 및 비교예에서 공시한 기판은, 모두 직경 300mm의 반도체용 실리콘으로 하였다. 이때의 열처리 조건은, 산소 50% 분위기에서 실온에서부터 승온 개시, 1320℃에서 15시간 유지한 후, 실온까지 승온시켰다. 열처리 후의 반도체 실리콘 기판은, 표면 결함 평가 장치(마경(魔境) 및 X선)에 의해 슬립을 관찰하였다. 모든 조건에 대해, 재현성을 확인하기 위하여 2∼3회의 조사를 실시하였다.
(본 발명예)
본 발명예에서는, 도 2∼도 10을 이용하여, 9종류의 열처리 치구(10∼90)의 구성을 설명한다.
도 2는, 본 발명의 제1예인 열처리 치구(10)의 구성을 도시한 도면이다. 열처리 치구(10)에 이용되는 홀더(11)는, 기상 성장법에 의해 제작한 실리콘 카바이드제이며, 링 구조부(11a)를 구비하고 있다.
홀더(11)는 직경(Hd) 320mm, 두께(Ht) 1.3mm이고, 링 구조부(11a)는 직경(Hrd) 220mm, 높이(Hrh) 3.5mm, 폭(Hrw) 1.2mm이다. 홀더(11)의 링 구조부(11a)는, 표면 조도가 1.6㎛, 평탄도가 185㎛ 및 20㎛가 되도록 표면 가공을 행하였다.
다음에, 링 구조부(11a)에 실리콘 단결정으로 이루어진 링(21)을 재치하였다. 링(21)은, 외경(Rd) 223mm 및 링 폭(Rw) 1.5mm으로 하고, 두께(Rt)를 0.5mm, 0.7mm, 1.0mm, 2.0mm, 5.0mm 및 10.0mm으로 변화시켰다.
또, 링(21)이 반도체 실리콘 기판(8) 및 링 구조부(11a)와 접하는 영역에서는, 평탄도 30㎛∼35㎛, 표면 조도 0.7㎛∼1.6㎛로 가공하였다.
도 2에 도시하는 바와 같이 홀더(11)에 링(21)을 재치한 2분할 구조로 이루어진 열처리 치구(10)에 실리콘 기판(8)을 재치하고, 그 홀더(11)를 열처리 보트에 탑재하여, 종형 열처리로(도시하지 않음)에 투입하여 열처리를 행하였다.
상기의 조건에서 열처리한 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 링 구조부의 평탄도가 185㎛인 홀더(11)에 재치한 반도체 실리콘 기판(8)은, 링(21)의 두께가 0.5mm인 것에서는 큰 슬립이 3개 발생해 있었다.
그러나, 링(21)의 두께가 0.7mm∼2.0mm인 경우에는, 두께에 의한 의존성이 없고, 재현 테스트의 결과, 슬립의 발생이 없거나, 또는 1∼3개 정도의 미세한 슬립의 발생이 있었다.
다음에, 링 구조부의 평탄도가 20㎛의 홀더(11)는, 평탄도 185㎛의 홀더(11)의 결과와 거의 동등한 결과였지만, 링(21)의 두께가 0.5mm인 경우에 발생하는 슬립은 저감하는 경향에 있었다.
따라서, 슬립은 홀더 평탄도에 영향을 받기 쉬워, 링(21)의 두께가 얇은 경우에는, 슬립 발생으로의 영향은 커진다는 것을 알 수 있다.
도 3은 본 발명의 제2예인 열처리 치구(20)의 구성을 도시한 도면이다. 열처리 치구(20)에 이용되는 홀더(12)는, 기상 성장법에 의해 제작한 실리콘 카바이드제이며, 링 구조부(12a)를 구비하고 있다.
홀더(12)는 직경(Hd) 320mm, 두께(Ht) 1.5mm이고, 링 구조부(12a)는 높이(Hrh) 2.0mm, 외경(Hrd) 285mm, 내경(Hid) 203mm이며, 폭(Hrw) 41mm를 갖고 있다. 홀더(12)의 링 구조부(12a)를 평탄도 40㎛, 표면 조도 1.6㎛가 되도록 표면 가공을 행하였다.
이 링 구조부(12a)에 실리콘 단결정으로 이루어진 외경(Rd) 223mm 및 두께(Rt) 2.0mm이고, 폭(Rw)을 0.5mm, 0.8mm 및 1.5mm로 변화시킨 링(22)을 재치하였다.
또, 링(22)이 반도체 실리콘 기판(8) 및 홀더(12)의 링 구조부(12a)와 접하는 부분의 평탄도가 20㎛∼35㎛, 표면 조도 1.6㎛로 표면 가공하였다.
도 3에 도시하는 바와 같이, 이와 같이 홀더(12)에 링(22)을 재치한 2분할 구조로 이루어진 열처리 치구(20)에 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
마찬가지로, 열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 슬립의 발생은 홀더에 재치한 링의 폭에 의존하지 않고, 재현 테스트의 결과에서 볼 때도, 슬립의 발생이 없거나, 또는 미세한 슬립이 1∼2개 발생했을 뿐이었다.
이로부터, 적어도 반도체 실리콘 기판을 지지하는 링 폭은 0.5mm이면 된다는 것을 알 수 있다.
도 4는, 본 발명의 제3예인 열처리 치구(30)의 구성을 도시한 도면이다. 열처리 치구(30)에 이용되는 홀더(13)는, 소결 실리콘 카바이드제이며, 원판 구조부 (13a)를 구비하고 있다.
홀더(13)는 직경(Hd) 320mm, 두께(Ht) 1.25mm이고, 원판 구조부(13a)는 직경(Hrd) 285mm, 높이(Hrh) 0.75mm이다. 홀더(13)의 원판 구조부(13a)는, 평탄도 20㎛, 표면 조도 1.5㎛가 되도록 표면 가공을 행하였다.
이 원판 구조부(13a)에 실리콘 단결정으로 이루어진 외경(Rd) 228mm이고 내경(Rid)이 152mm, 두께(Rt) 2.0mm의 링(23)을 재치하였다.
또, 링(23)이 반도체 실리콘 기판(8) 및 홀더(13)의 원판 구조부(13a)와 접하는 부분의 평탄도가 20㎛, 표면 조도 1.6㎛∼1.7㎛가 되도록 표면 가공하였다.
도 4에 도시하는 바와 같이 홀더(13)에 링(23)을 재치한 2중 구조로 이루어진 열처리 치구(30)에 300mm 반도체 실리콘 기판(8)을 재치하여 열처리 보트(1)에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 열처리 치구(20)의 경우와 동일하게, 슬립은 홀더에 재치한 링의 폭에 의존하지 않고, 재현 테스트의 결과에서도, 슬립의 발생이 없거나, 또는 미세한 슬립이 1∼2개 발생해 있었을 뿐이었다.
도 5는, 본 발명의 제4예인 열처리 치구(40)의 구성을 도시한 도면이다. 열처리 치구(40)에 이용되는 홀더(13)는, 소결 실리콘 카바이드제이며, 원판 구조부(13a)를 구비하고 있다.
홀더(13)는 직경(Hd) 320mm, 두께(Ht) 1.25mm이고, 원판 구조부(13a)는 직경(Hrd) 285mm, 높이(Hrh) 0.75mm이다. 홀더(13)의 원판 구조부(13a)는, 평탄도 20 ㎛, 표면 조도 1.5㎛가 되도록 표면 가공을 행하였다. 이 원판 구조부(13a)에, 실리콘 단결정으로 이루어진 직경(Rd) 223mm, 두께(Rt) 2mm의 원판(24)을 재치하였다. 이 원판(24)은, 반도체 실리콘 기판(8) 및 홀더(13)의 원판 구조부(13a)와 접하는 부분의 평탄도가 30㎛, 표면 조도 1.5㎛로 하였다.
이 원판(24)과 홀더(13)로 이루어진 열처리 치구(40)의 위에 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 원판 형상 구조인 열처리 치구(40)라 하더라도, 열처리 치구(20)의 경우와 동일하게, 슬립의 발생이 없거나, 또는 미세한 슬립이 1∼2개 발생해 있었을 뿐이었다.
도 6은, 본 발명의 제5예인 열처리 치구(50)의 구성을 도시한 도면이다. 열처리 치구(50)에 이용되는 홀더(13)는, 소결 실리콘 카바이드제이며, 원판 구조부(13a)를 구비하고 있다.
홀더(13)는 직경(Hd) 320mm, 두께(Ht) 1.25mm이고, 원판 구조부(13a)는 직경(Hrd) 285mm, 높이(Hrh) 0.75mm이다. 홀더(13)의 원판 구조부(13a)는, 평탄도 20㎛, 표면 조도 1.5㎛가 되도록 표면 가공을 행하였다.
이 원판 구조부(13a)에, 실리콘 단결정으로 이루어진 외경(Rd) 230mm, 내경(Ri) 220mm의 외측 둘레 링, 또한 내측에 외경(Rid) 90mm, 내경(Rii) 80mm의 내측 둘레 링을 구비하는 두께(Rt) 3mm의 2중 구조 링(25)을 재치하였다.
이 2중 구조 링(25)은, 반도체 실리콘 기판(8) 및 홀더(13)의 원판 구조부 (13a)와 접하는 부분의 평탄도 25㎛, 표면 조도 1.3㎛로 하였다.
이 2중 구조 링(25)과 홀더(13)로 이루어진 열처리 치구(50)에 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 일체형 2중 구조 링으로 이루어진 열처리 치구(50)라 하더라도, 열처리 치구(20)의 경우와 마찬가지로, 슬립의 발생이 없거나, 또는 미세한 슬립이 1∼2개 발생해 있었을 뿐이었다.
도 7은, 본 발명의 제6예인 열처리 치구(60)의 구성을 도시한 도면이다. 열처리 치구(60)에서는, 상기 도 5에 도시한 열처리 치구(40)에서 사용한 단결정 실리콘으로 이루어진 원판(24)을 처리한 실리콘 카바이드막이 부착된 원판(26)을 이용하고 있다.
이 실리콘 카바이드막이 부착된 원판(26)은, 상기 도 5에 도시한 원판(24)의 표면에 기상 성장법에 의해 실리콘 카바이드막을 20㎛ 퇴적하고, 그 후 핸드 폴리쉬로 이상(異常) 돌기를 제거한 후, 또한 블래스트 처리로 표면 조도를 1.2㎛가 되도록 제작한 것이다.
실리콘 카바이드막이 부착된 원판(26)을, 상기 도 5와 마찬가지로, 소결 실리콘 카바이드제 홀더(13)의 원판 구조부(13a) 상에 재치하고, 이 실리콘 카바이드막이 부착된 원판(26)과 홀더(13)로 이루어진 열처리 치구(60) 위에 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 단결정 실리콘으로 이루어진 열처리 치구 표면에 얇은 실리콘 카바이드를 피복하더라도, 상기 열처리 치구(40)의 경우와 동일한 효과를 얻을 수 있었다. 즉, 실리콘 카바이드 피막이 얇기 때문에 재료 특성(열팽창 계수 등)은, 실리콘 재료에 의존하고 있는 것으로 추찰된다.
도 8은, 본 발명의 제7예인 열처리 치구(70)의 구성을 도시한 도면이다. 열처리 치구(70)에서는, 직경(Hd) 320mm, 두께(Ht) 3.0mm, 평탄도 40㎛, 표면 조도 1.2㎛로 이루어진 실리콘 카바이드제의 홀더(17)를 이용하고 있다.
이 홀더(17) 상에, 실리콘 단결정 재료로 이루어진 직경(Rd) 305mm, 두께(Rt) 2.0mm, 표면 조도 2.0㎛, 평탄도 20㎛의 원판(27)을 재치하였다. 이 2중 구조로 이루어진 열처리 치구(70)에, 반도체 실리콘 기판(8)을 재치하여 열처리 보트(1)에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 반도체 실리콘 기판보다 큰 직경을 갖는 실리콘 재료로 이루어진 열처리 치구를 이용해도 슬립 발생 상황은 양호했다.
도 9는, 본 발명의 제8예인 열처리 치구(80)의 구성을 도시한 도면이다. 열처리 치구(80)에서는, 직경(Hd) 320mm, 두께(Ht) 5.0mm, 평탄도 25㎛, 표면 조도 1.2㎛로 이루어진 소결 실리콘 카바이드제의 홀더(18)를 이용하고 있다.
이 홀더(18) 상에, 또한 동일하게 소결 실리콘 카바이드제로 이루어진 직경 (Hrd) 305mm, 두께(Hrh) 0.5mm, 평탄도 20㎛, 표면 조도 0.02㎛의 원판(19)을 포개고, 또한 상기 도 3에 도시한 열처리 치구(20)에서 사용한 외경(Rd) 223mm 및 두께(Rt) 2.0mm이고, 링 폭(Rw) 1.5mm의 실리콘 링(22)을 재치하였다.
홀더(18)와 원판(19)과 링(22)의 3중 구조로 이루어진 열처리 치구(80) 상에, 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 홀더 두께가 5mm의 위에 원판 5mm를 재치하여 10mm가 되어도 슬립 발생 상황은 양호했다.
도 10은, 본 발명의 제7예인 열처리 치구(90)의 구성을 도시한 도면이다. 열처리 치구(90)에 이용되는 홀더(12)는, 기상 성장법에 의해 제작한 실리콘 카바이드제이며, 링 구조부(12a)를 구비하고 있다.
홀더(12)는 직경(Hd) 320mm, 두께(Ht) 1.5mm이고, 링 구조부(12a)는 높이(Hrh) 2.0mm, 외경(Hrd) 285mm, 내경(Hid) 203mm이며, 폭(Hrw) 41mm를 갖고 있다. 홀더(12)의 링 구조부(12a)를 평탄도 40㎛, 표면 조도 1.6㎛가 되도록 표면 가공을 행하였다.
이 링 구조부(12a)의 주변에는, 실리콘 단결정으로 이루어진 외경(Rd) 290mm, 내경(Ri) 286mm 및 두께(Rt) 2.0mm의 링(28)과, 실리콘 단결정으로 이루어진 외경(Rid) 202mm, 내경(Rii) 198mm 및 두께(Rt) 2.0mm의 링(29)을 재치하였다.
또, 링(28) 및 링(29)이 반도체 실리콘 기판(8) 및 홀더(12)와 접하는 부분의 평탄도가 20㎛∼35㎛, 표면 조도 1.4㎛로 표면 가공하였다.
도 10에 도시하는 바와 같이, 이와 같이 링(28) 및 링(29)을 재치한 2분할 구조로 이루어진 열처리 치구(90)에 반도체 실리콘 기판(8)을 재치하여 열처리 보트에 탑재하고, 종형 열처리로에 투입하여 열처리를 행하였다.
마찬가지로, 열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 실리콘 카바이드제의 링 구조부와 실리콘 단결정으로 이루어진 링 양자에서 지지하는 구조의 열처리 치구(90)라 하더라도, 열처리 치구(20)의 경우와 동일하게, 슬립의 발생이 없거나, 또는 미세한 슬립이 1∼2개 발생했을 뿐이었다.
이로부터, 링(28) 및 링(29)을 이용하는 경우라 하더라도, 슬립의 발생을 효율적으로 저감할 수 있다는 것을 알 수 있다.
또한, 도시하지 않지만, 직경 320mm, 두께 0.7mm, 평탄도 150㎛, 표면 조도 0.6㎛로 이루어진 소결 실리콘 카바이드제의 홀더 상에, 상기 도 4에 도시한 열처리 치구(30)에서 사용한 링 높이 0.5mm, 1.0mm, 5.0mm, 10mm로 이루어진 실리콘 링(23)을 설치하게 하고, 그 위에 반도체 실리콘 기판을 탑재하여 종형 열처리로에 투입하였다.
열처리 후, 반도체 실리콘 기판(8)을 취출하여 표면을 관찰하였다. 실리콘 링 높이가 0.5mm에서는 미세한 슬립이 4∼5개 발생하였다. 실리콘 링 높이가 1.0mm에서는 높이 0.5mm의 경우보다 슬립은 더욱 저감하고, 높이 5.0mm에서는, 열처리 치구(20, 30)의 경우와 동등한 레벨인 것을 알 수 있었다.
즉, 실리콘 카바이드가 얇은 경우라도, 그 위의 실리콘 재료로 이루어진 열처리 치구 두께가 증가하면 강도적으로 강하게 되어 슬립 저감 효과가 있다는 것을 알 수 있다.
(비교예)
도 11∼도 13은, 비교예인 열처리 치구의 구성을 도시한 도면이다. 도 11은, 상기 도 2에 도시한 열처리 치구(10)의 홀더(11)를 사용하지만, 실리콘 단결정으로 이루어진 링(21)을 사용하지 않은 비교예(1)의 구성을 도시한 도면이다.
도 12는, 상기 도 3에 도시하는 열처리 치구(20)의 홀더(12)를 사용하지만, 실리콘 단결정으로 이루어진 링(22)을 사용하지 않은 비교예 2의 구성을 도시한 도면이다.
도 13은, 상기 도 4에 도시한 열처리 치구(30)의 홀더(13)를 사용하지만, 실리콘 단결정으로 이루어진 링(23)을 사용하지 않은 비교예 3의 구성을 도시한 도면이다.
도 11∼도 13에 도시한 어느 경우도, 상기 도 2∼도 4에 도시한 링(21, 22, 23)을 사용하지 않고, 직접 반도체 실리콘 기판(8)을 재치하여 종형 열처리로에 투입하였다.
도 11에 도시한 비교예 1에서는, 평탄도 185㎛의 홀더에서는 원주 220mm의 링 지지 위치에 큰 슬립이 다발하고, 평탄도 20㎛ 홀더에서도 마찬가지로 슬립이 다발하지만 저감하는 경향을 보이고 있다.
도 12에 도시한 비교예 2에서는, 외경 285mm 원주 위치에 대응하는 영역에 큰 슬립이 10개 이상 발생하여, 내측 둘레 203mm 주변에도 미세한 슬립이 수개 발생해 있었다. 또, 반도체 실리콘 기판을 유지하고 있는 홀더면 내에도, 십자 형상 의 슬립이 발생하였다.
도 13에 도시한 비교예 3에서는, 비교예 2와 마찬가지로 외경 285mm 원주 위치에 대응하는 영역에 길고, 미세한 슬립이 수개 발생하였다.
비교예 1∼3의 결과에 따르면, 홀더(실리콘 카바이드제)만을 사용한 경우에는, 지지면 주변에서 슬립이 발생하고 있기 때문에, 지지부 주변에 접촉한 반도체 실리콘 기판 이면에 손상이 가고, 이를 기점으로 하여 슬립이 성장하고 있는 것으로 추찰된다.
비교예에서 도시하는 바와 같이 반도체 기판용 열처리 치구에서는, 실리콘 카바이드제의 홀더만의 구성으로는, 모든 조건에서 슬립의 발생을 억제할 수 없었다. 그러나, 본 발명예에서 도시하는 바와 같이 상기의 홀더에 단결정 실리콘으로 이루어진 제1 치구를 탑재하여, 2분할 구조로 함으로써, 슬립의 발생이 없거나, 또는 슬립의 발생을 대폭 억제할 수 있다.
본 발명의 반도체 기판용 열처리 치구에 따르면, 반도체 기판과 직접 접촉하여 지지하는 실리콘 재료로 구성되는 제1 치구와, 이 제1 치구를 유지함과 동시에 열처리 보트에 재치하기 위한 제2 치구(홀더)로 이루어진 2분할 구조로 구성하고, 또한 치구 재료의 최적화를 도모함과 동시에, 표면 조도와 표면 평탄도를 규정함으로써, 열처리 시에 반도체 기판의 특정 개소로의 응력 집중을 억제하여, 치구의 변형을 저감할 수 있다. 이에 따라, 자중 응력이 큰 외형이 300mm인 반도체 웨이퍼를 열처리하는 경우, 또한 SIMOX 열처리와 같은 열응력이 큰 조건에서 열처리하는 경우라도, 슬립의 발생을 효율적으로 방지할 수 있어, 안정된 반도체 기판용 열처리로서 널리 적용할 수 있다.

Claims (6)

  1. 종형 열처리로의 열처리 보트에 재치되는 열처리 치구로서,
    실리콘 재료로 구성되고, 반도체 기판과 직접 접촉하여 지지하는 제1 치구와,
    상기 제1 치구를 유지함과 동시에 열처리 보트에 탑재하기 위한 제2 치구(홀더)를 설치하고,
    상기 제1 치구는 상기 반도체 기판과 직접 접촉하는 영역에서의 두께가 0.5mm∼10.0mm, 표면 조도가 0.02㎛∼10.0㎛, 및 평탄도가 100㎛ 이하이고,
    상기 제2 치구는 상기 제1 치구와 직접 접촉하는 영역에서의 두께가 O.5mm∼10.0mm, 표면 조도가 0.02㎛∼10㎛, 및 평탄도가 200㎛ 이하인 것을 특징으로 하는 반도체 기판의 열처리 치구.
  2. 청구항 1에 있어서,
    상기 제1 치구는, 상기 반도체 기판과 직접 접촉하는 폭이 0.5mm 이상인 것을 특징으로 하는 반도체 기판의 열처리 치구.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 제1 치구는 상기 반도체 기판과 직접 접촉하는 영역 표면에, 실리콘 카바이드막, 산화막, 또는 다결정 실리콘막 중 어느 하나를 형성한 것을 특징으로 하는 반도체 기판의 열처리 치구.
  4. 종형 열처리로의 열처리 보트에 의한 열처리 방법으로서,
    상기 열처리 보트에 재치되는 반도체 기판용 열처리 치구를, 반도체 기판과 직접 접촉하여 지지하는 실리콘 재료로 구성되는 제1 치구와, 상기 제1 치구를 유지하는 제2 치구(홀더)로 구성하고,
    상기 제1 치구는 상기 반도체 기판과 직접 접촉하는 영역에서의 두께가 0.5mm∼10.0mm, 표면 조도가 0.02㎛∼10.0㎛, 및 평탄도가 100㎛ 이하이고,
    상기 제2 치구는 상기 제1 치구와 직접 접촉하는 영역에서의 두께가 O.5mm∼10.0mm, 표면 조도가 0.02㎛∼10㎛, 및 평탄도가 200㎛ 이하가 되도록 하여,
    상기 제1 치구 상에서 유지된 반도체 기판을 열처리하는 것을 특징으로 하는 반도체 기판의 열처리 방법.
  5. 청구항 4에 있어서,
    열처리한 반도체 기판에 슬립이 발생한 경우에,
    상기 제1 치구의 상기 반도체 기판과 직접 접촉하는 영역에서의 해당 슬립과 대응한 위치를 연삭 또는 연마하는 것을 특징으로 하는 반도체 기판의 열처리 방법.
  6. 삭제
KR1020067008736A 2003-11-07 2004-02-02 반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법 KR100816180B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00378724 2003-11-07
JP2003378724 2003-11-07

Publications (2)

Publication Number Publication Date
KR20060086372A KR20060086372A (ko) 2006-07-31
KR100816180B1 true KR100816180B1 (ko) 2008-03-21

Family

ID=34544472

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067008736A KR100816180B1 (ko) 2003-11-07 2004-02-02 반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법

Country Status (6)

Country Link
US (1) US7329947B2 (ko)
EP (1) EP1681716B1 (ko)
JP (1) JP4622859B2 (ko)
KR (1) KR100816180B1 (ko)
TW (1) TWI242248B (ko)
WO (1) WO2005045917A1 (ko)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4208856B2 (ja) * 2004-04-28 2009-01-14 キヤノン株式会社 液体吐出ヘッドの製造方法
JP4820755B2 (ja) * 2004-08-06 2011-11-24 株式会社日立国際電気 熱処理装置及び基板の製造方法
WO2006035879A1 (ja) * 2004-09-30 2006-04-06 Hitachi Kokusai Electric Inc. 熱処理装置及び基板の製造方法
CN101061578A (zh) * 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
US7601227B2 (en) * 2005-08-05 2009-10-13 Sumco Corporation High purification method of jig for semiconductor heat treatment
JP5050363B2 (ja) 2005-08-12 2012-10-17 株式会社Sumco 半導体シリコン基板用熱処理治具およびその製作方法
KR101165466B1 (ko) * 2005-08-31 2012-07-13 엘지디스플레이 주식회사 캐리어 및 이를 구비한 공정 장치
JP2007123413A (ja) * 2005-10-26 2007-05-17 Elpida Memory Inc 半導体装置の製造方法
JP2007201417A (ja) * 2005-12-28 2007-08-09 Tokyo Electron Ltd 熱処理用ボート及び縦型熱処理装置
JP4781867B2 (ja) * 2006-03-23 2011-09-28 大日本スクリーン製造株式会社 熱処理装置
JP2009543352A (ja) * 2006-06-30 2009-12-03 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド ウェハプラットフォーム
JP2008021888A (ja) * 2006-07-14 2008-01-31 Nec Electronics Corp 治具装置
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR100818842B1 (ko) * 2006-12-27 2008-04-01 주식회사 실트론 웨이퍼의 열처리시 슬립을 방지할 수 있는 웨이퍼 지지 핀및 웨이퍼의 열처리 방법
US20090071918A1 (en) * 2007-09-18 2009-03-19 Panchapakesan Ramanarayanan Vertical semiconductor wafer carrier
JP2010034473A (ja) * 2008-07-31 2010-02-12 Sumco Corp Simox基板の製造方法及び該方法に用いるエッチング装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5440901B2 (ja) * 2009-04-24 2014-03-12 株式会社Sumco シリコンウェーハの熱処理方法
JP2010272683A (ja) * 2009-05-21 2010-12-02 Covalent Materials Corp 縦型ウエハボート
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP2013016635A (ja) * 2011-07-04 2013-01-24 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP1624353S (ko) * 2018-07-19 2019-02-12
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321543A (ja) 1997-05-20 1998-12-04 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JP2001358086A (ja) * 2000-06-16 2001-12-26 Sumitomo Metal Ind Ltd ウェーハの熱処理方法とその装置
JP2003037112A (ja) * 2001-07-23 2003-02-07 Sumitomo Mitsubishi Silicon Corp 半導体シリコン基板の熱処理治具

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3204699B2 (ja) * 1990-11-30 2001-09-04 株式会社東芝 熱処理装置
JPH09199438A (ja) 1996-01-12 1997-07-31 Tokyo Electron Ltd 熱処理用治具
TW325588B (en) * 1996-02-28 1998-01-21 Asahi Glass Co Ltd Vertical wafer boat
JP3692697B2 (ja) 1997-03-25 2005-09-07 三菱住友シリコン株式会社 ウェハ支持体及び縦型ボート
JP3494554B2 (ja) * 1997-06-26 2004-02-09 東芝セラミックス株式会社 半導体用治具およびその製造方法
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
TW489827U (en) * 1998-04-09 2002-06-01 Kobe Steel Ltd Apparatus for high-temperature and high-pressure treatment of semiconductor substrates
JP3511466B2 (ja) * 1998-05-22 2004-03-29 東芝セラミックス株式会社 半導体ウェーハ熱処理用部材およびこれを用いた治具
JP2001284275A (ja) * 2000-03-29 2001-10-12 Toshiba Ceramics Co Ltd CVD−SiC膜被覆半導体熱処理用部材
JP2002043239A (ja) * 2000-07-24 2002-02-08 Toshiba Ceramics Co Ltd 半導体ウェーハ熱処理用治具およびその製造方法
JP4467028B2 (ja) * 2001-05-11 2010-05-26 信越石英株式会社 縦型ウェーハ支持治具
JP2002343789A (ja) * 2001-05-16 2002-11-29 Mitsubishi Electric Corp 補助保温治具、その製造方法、板状断熱材付きウエハボート、縦型熱処理装置、縦型熱処理装置の改造方法および半導体装置の製造方法
JP2003031647A (ja) * 2001-07-19 2003-01-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003100648A (ja) * 2001-09-21 2003-04-04 Toshiba Ceramics Co Ltd 半導体ウエハ熱処理用治具
JP2003197722A (ja) * 2001-12-26 2003-07-11 Toshiba Ceramics Co Ltd 半導体ウェーハ熱処理用治具及びこれを用いた熱処理用装置並びに半導体ウェーハ熱処理用治具の製造方法
JP2004079676A (ja) * 2002-08-13 2004-03-11 Toshiba Ceramics Co Ltd ウェーハホルダ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321543A (ja) 1997-05-20 1998-12-04 Sumitomo Metal Ind Ltd ウェハ支持体及び縦型ボート
JP2001358086A (ja) * 2000-06-16 2001-12-26 Sumitomo Metal Ind Ltd ウェーハの熱処理方法とその装置
JP2003037112A (ja) * 2001-07-23 2003-02-07 Sumitomo Mitsubishi Silicon Corp 半導体シリコン基板の熱処理治具

Also Published As

Publication number Publication date
US20050098877A1 (en) 2005-05-12
TW200516673A (en) 2005-05-16
EP1681716B1 (en) 2018-05-23
WO2005045917A1 (ja) 2005-05-19
KR20060086372A (ko) 2006-07-31
JPWO2005045917A1 (ja) 2007-05-24
TWI242248B (en) 2005-10-21
EP1681716A4 (en) 2010-08-25
EP1681716A1 (en) 2006-07-19
JP4622859B2 (ja) 2011-02-02
US7329947B2 (en) 2008-02-12

Similar Documents

Publication Publication Date Title
KR100816180B1 (ko) 반도체 기판용 열처리 치구 및 반도체 기판의 열처리 방법
US7163393B2 (en) Heat treatment jig for semiconductor silicon substrate
KR100758965B1 (ko) 반도체 웨이퍼용 열처리 치구
JP4534619B2 (ja) 半導体シリコン基板用熱処理治具
KR100404032B1 (ko) 둥근 수평 아암을 갖는 슬립 가능한 수직 래크
KR101537960B1 (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
JP2004531891A (ja) スリップを有しないウエハボートを製造する装置及び方法
US20080041798A1 (en) Wafer Platform
JPH10321543A (ja) ウェハ支持体及び縦型ボート
JPH02174116A (ja) サセプタ
JP4003906B2 (ja) シリコン単結晶半導体ウエハ加熱処理用治具及びこれを用いたシリコン単結晶半導体ウエハ加熱処理用装置
WO2005124848A1 (ja) 熱処理用治具及び半導体ウエーハの熱処理方法
KR20010062144A (ko) 열처리용 기판 보유 지지구, 기판 열처리 장치 및 기판의열처리 방법
JP3687578B2 (ja) 半導体シリコン基板の熱処理治具
JP4396105B2 (ja) 縦型熱処理用ボート及び半導体ウエーハの熱処理方法
US6355577B1 (en) System to reduce particulate contamination
JP5527902B2 (ja) シリコンウェーハの熱処理方法
JP2005019748A (ja) ウエーハの熱処理用治具及び熱処理方法
JP2003100648A (ja) 半導体ウエハ熱処理用治具
JP3909720B2 (ja) 半導体熱処理用ガラス状カーボン製ダミーウエハ
KR100837737B1 (ko) 웨이퍼 지지대
JP2005012058A (ja) 半導体基板の熱処理ボートおよび熱処理方法
KR20230016383A (ko) 종형 열처리용 보트 및 이를 이용한 실리콘 웨이퍼의 열처리 방법
JP2004327576A (ja) 気相工程用トレー

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130308

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140307

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150306

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160311

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170310

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180309

Year of fee payment: 11