KR100431658B1 - 기판 가열 장치 및 이를 갖는 장치 - Google Patents

기판 가열 장치 및 이를 갖는 장치 Download PDF

Info

Publication number
KR100431658B1
KR100431658B1 KR10-2001-0061512A KR20010061512A KR100431658B1 KR 100431658 B1 KR100431658 B1 KR 100431658B1 KR 20010061512 A KR20010061512 A KR 20010061512A KR 100431658 B1 KR100431658 B1 KR 100431658B1
Authority
KR
South Korea
Prior art keywords
plate
substrate
heater
hot plate
heating
Prior art date
Application number
KR10-2001-0061512A
Other languages
English (en)
Other versions
KR20030029249A (ko
Inventor
이태원
배도인
이상열
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2001-0061512A priority Critical patent/KR100431658B1/ko
Priority to US10/264,482 priority patent/US6787739B2/en
Publication of KR20030029249A publication Critical patent/KR20030029249A/ko
Application granted granted Critical
Publication of KR100431658B1 publication Critical patent/KR100431658B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates
    • H05B3/72Plates of sheet metal

Abstract

반도체 장치 제조를 위한 기판 가열 장치가 개시되고 있다. 상기 장치는 반도체 기판이 놓여지는 핫 플레이트와 상기 반도체 기판을 가열하기 위한 히터를 포함한다. 상기 핫 플레이트는 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 이루어진다. 상기 히터와 인접하는 제1플레이트는 상대적으로 열전도도가 높은 알루미늄으로 이루어지고, 상기 반도체 기판이 놓여지는 제2플레이트는 알루미늄보다 열전도도가 낮은 티타늄 또는 스테인레스 스틸로 이루어진다. 상기 핫 플레이트는 보온성 및 균일한 온도 분포 특성을 갖는다. 따라서, 상기 반도체 기판이 균일하게 가열되고, 상기 반도체 기판을 가열하기 위한 에너지가 절감된다. 또한, 상기 장치에 의해 제조되는 반도체 장치의 신뢰도 및 생산성이 향상된다.

Description

기판 가열 장치 및 이를 갖는 장치{Apparatus for heating a substrate and apparatus having the same}
본 발명은 반도체 장치 제조를 위한 핫 플레이트에 관한 것이다. 보다 상세하게는, 반도체 기판을 가열하는 핫 플레이트에 관한 것이다.
근래에, 정보 통신 기술의 비약적인 발달에 따라 반도체 장치의 처리 속도 및 저장 능력의 향상이 요구되고 있다. 이에 따라, 상기 반도체 장치의 제조 기술은 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 발전되고 있다.
일반적으로 반도체 장치는 반도체 장치로 제조하기 위한 반도체 기판에 대하여 증착, 포토리소그래피, 식각, 이온 주입, 연마, 세정 등의 단위 공정들을 반복적으로 수행함으로서 제조된다.
상기 단위 공정들 중에서 반도체 기판 상에 막을 형성하는 공정, 반도체 기판 상에 도포된 포토레지스트 조성물을 경화시키는 공정 또는 식각 공정을 수행한 후, 마스크로 사용된 포토레지스트 막을 제거하는 공정 등은 반도체 기판을 고온으로 가열한 상태에서 수행된다.
0.15㎛ 이하의 미세 패턴을 요구하는 최근의 반도체 제조 공정에서는 상기 기판을 가열하는 장치의 온도를 균일하게 제어해야 한다. 기판의 온도가 불균일한 경우 일정한 크기의 패턴을 형성하기 어렵고, 불균일한 패턴은 반도체 장치의 신뢰도를 저하시킨다.
도 1은 종래의 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 1을 참조하면, 반도체 기판을 가공하는 공정이 수행되는 챔버(100)가 도시되어 있다. 챔버(100)의 하부에는 반도체 기판을 가열하기 위한 히터(102)가 연결된다. 히터(102)는 램프(104)와 램프(104) 상부에 설치되는 석영 플레이트(106)를 포함하고, 램프(104)로부터 방사된 빛은 석영 플레이트(106)를 통해 반도체 기판이 놓여지는 핫 플레이트(hot plate, 108)를 가열한다. 핫 플레이트(108)의 재질은 열전도도가 우수한 알루미늄으로 이루어진다.
핫 플레이트(108)의 일측에는 온도를 측정하는 센서(110)가 연결되어 있고, 온도 센서(110)와 연결된 제어부(112)는 온도 센서의 신호에 따라 핫 플레이트(108)의 온도를 제어하기 위한 제어 신호를 발생시킨다. 상기 제어 신호에 따라 전원 공급기(114)는 상기 램프(104)로 전력을 공급한다. 그러나, 반도체 장치를 가공하는 공정의 조건 및 핫 플레이트(108)의 재질에 따라 핫 플레이트(108)의 온도는 국부적인 차이가 발생하게 된다. 상기와 같은 온도 차이는 반도체 장치 제조 공정의 불량을 발생시킨다. 예를 들면, 식각 공정에서는 패턴의 두께가 불균일해지고, 애싱 공정에서는 포토레지스트 막이 완전하게 제거되지 않고, 증착 공정에서는 막의 두께가 불균일해진다. 또한, 베이크 공정에서는 포토레지스트 막의 두께가 불균일해진다.
상기와 같은 온도 차이는 핫 플레이트의 하부면에 부착되고, 반도체 기판을 가열하기 위한 열선을 구비하는 히터의 경우에도 동일하게 발생된다.
따라서, 상기와 같은 온도 차이는 웨이퍼가 300㎜로 증가되는 추세에 따라 시급하게 해결해야 할 과제로 인식되고 있다. 따라서, 상기 온도 차이를 극복하기 위해 히터의 구조 변경 및 재질 변경 등에 대한 연구가 활발히 진행되고 있다.
이러한 일 예로서, 미합중국 특허 제5,294,778호(issued to Carman, et al.)에는 나선형 히터, 상기 나선형 히터의 내측과 외측에 구비되는 두 개의 히터를 포함하는 히터 시스템이 개시되어 있다. 그리고, 미합중국 특허 제6,207,932호(issued to Yoo)에는 웨이퍼 안착부를 구비하는 몸체(body member)와 웨이퍼 안착부(wafer support)에 공정 가스를 제공하는 가스 라인과 열선에 전원을 공급하는 전원 공급부 및 온도 제어부를 갖는 히터 블록(heater block)이 개시되어 있다.
한편, 상기 단위 공정들을 수행할 때 공정 진행 시간을 단축하기 위해 히터는 핫 플레이트의 온도를 항상 일정하게 유지시킨다. 따라서, 히터의 열효율이 감소하고, 에너지 손실이 크다는 단점이 있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 온도 분포가 균일하고, 보온성을 갖는 핫 플레이트를 갖는 기판 가열 장치를 제공하는데 있다.
도 1은 종래의 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 2는 본 발명의 제1실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 3은 본 발명의 제2실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 4는 본 발명의 제3실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 5는 본 발명의 제4실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 6은 도 2에 도시한 기판 가열 장치를 갖는 장치를 설명하기 위한 개략적인 구성도이다.
도 7은 도 6에 도시한 핫 플레이트를 나타내는 사시도이다.
도 8은 도 2에 도시한 기판 가열 장치를 갖는 장치의 다른 예를 설명하기 위한 개략적인 구성도이다.
* 도면의 주요부분에 대한 부호의 설명 *
100 : 챔버 102 : 히터
104 : 램프 106 : 석영 플레이트
108 : 핫 플레이트 110 : 온도 센서
112 : 제어부 114 : 전원 공급기
200, 300, 400, 500 : 기판 가열 장치
210, 310, 410, 510 : 핫 플레이트
212, 312, 412, 512 : 제1플레이트
214, 314, 414, 514 : 제2플레이트
220, 320, 420 : 히터
222, 322, 422 : 열선
230, 330, 430, 530 : 온도 센서
232, 332, 432, 532 : 제어부
234, 334, 434, 534 : 전원 공급기
520 : 램프 하우징 522 : 텅스텐 램프
524 : 석영 플레이트 600 : 챔버
602 : 가스 제공부 604 : 배플 플레이트
606 : 배출 라인 608 : 진공 펌프
610 : 밸브 612 : 리프터
614 : 구동부 700 : 베이크 챔버
702 : 패널 704 : 리프트 핀
706 : 제1구동부 708 : 커버
710 : 제2구동부
상기 목적을 달성하기 위한 본 발명의 제1측면에 기판 가열 장치는 기판을 가열하기 위한 열을 제공하는 히터와,
상기 기판이 놓여지고, 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 형성되고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트를 포함한다.
상기 핫 플레이트는 구리(copper), 알루미늄(aluminium), 스테인레스 스틸(stainless steel), 티타늄(titanium) 등으로 이루어진 복합 판재로 형성된다.
일 예로서, 상기 핫 플레이트는 알루미늄으로 이루어지는 제1플레이트와, 상기 제1플레이트의 상부면에 부착되고, 스테인레스 스틸 또는 티타늄으로 이루어지는 제2플레이트를 포함한다.
다른 예로서, 상기 핫 플레이트는 알루미늄으로 이루어지는 제1플레이트와, 상기 제1플레이트의 상부면 및 하부면에 각각 부착되고, 스테인레스 스틸 또는 티타늄으로 이루어지는 제2플레이트를 포함한다.
또 다른 예로서, 상기 핫 플레이트는 구리로 이루어지는 제1플레이트와, 상기 제1플레이트의 상부면에 부착되고, 알루미늄으로 이루어지는 제2플레이트와, 상기 제2플레이트의 상부면에 부착되고, 스테인레스 스틸 또는 티타늄으로 이루어지는 제3플레이트를 포함한다.
상기 기판을 가열하기 위한 열을 제공하는 상기 히터는 전기에 의해 발열되는 열선을 내장하는 히터 또는 빛을 방사하는 램프를 포함한다.
그리고, 상기 기판 가열 장치는 상기 핫 플레이트의 온도를 감지하는 다수개의 센서와, 상기 센서들의 온도 감지 신호에 의해 상기 핫 플레이트의 온도를 조절하는 제어부를 더 포함한다.
상기와 같이, 서로 다른 열전도도를 갖는 다수개의 플레이트가 적층된 복합판재를 가열하는 경우 복합 판재는 균일한 온도 분포를 갖는다. 따라서, 핫 플레이트에 놓여지는 상기 기판을 일정한 온도로 가열할 수 있다.
그리고, 상기 복합 판재는 보온성을 갖고 있기 때문에 핫 플레이트를 일정한 온도로 유지하기 위한 에너지를 절감할 수 있다.
상기 목적을 달성하기 위한 본 발명의 제2측면에 따른 기판 가공 장치는 기판을 가공하는 공정이 수행되는 챔버와,
상기 기판을 가공하기 위한 가스를 상기 챔버 내부로 공급하는 가스 제공부와,
상기 챔버 내부에 구비되고, 상기 기판을 가열하기 위한 열을 제공하는 히터와, 상기 기판이 놓여지고, 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 형성되고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트를 포함하는 기판 가열 수단을 포함한다.
상기 챔버는 플라즈마를 이용하여 기판 상에 형성된 포토레지스트 막을 제거하는 공정이 수행되는 챔버와 플라즈마를 이용하여 기판 상에 막을 형성하는 챔버를 포함한다.
상기 목적을 달성하기 위한 본 발명의 제3측면에 따른 기판 가공 장치는 기판 상에 도포된 포토레지스트 층을 경화시키는 공정이 수행되는 챔버와,
상기 챔버 내부에 구비되고, 상기 기판을 가열하기 위한 열을 제공하는 히터와, 상기 기판이 놓여지고, 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 형성되고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트를 포함하는 기판 가열 수단을 포함하는 것을 특징으로 하는 기판 가공 장치.
따라서, 균일한 온도 분포를 갖는 핫 플레이트로 상기 기판을 가열함으로서, 불균일한 온도 분포로 인해 발생되는 공정 결함을 방지할 수 있다. 즉, 일정한 두께를 갖는 막과 패턴을 형성할 수 있다.
더 나아가서, 상기 기판 가공 장치에 의해 제조되는 반도체 장치의 신뢰도 및 생산성을 향상시킬 수 있다.
이하, 첨부된 도면을 참조하여 본 발명에 따른 실시예를 상세하게 설명하면 다음과 같다.
도 2는 본 발명의 제1실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 2를 참조하면, 기판 가열 장치(200)는 핫 플레이트(210)와 히터(220)를 포함한다. 핫 플레이트(210)는 서로 다른 열전도도를 갖는 제1플레이트(212)와 제2플레이트(214)로 이루어지고, 제2플레이트(214)의 상부면에 반도체 기판(900)이 놓여진다. 핫 플레이트(210)의 하부면에는 반도체 기판(900)을 가열하기 위한 열을 제공하는 열선(222)을 내장하는 히터(220)가 접촉된다. 따라서, 핫 플레이트(210)는 히터(220)로부터 전도되는 열에 의해 가열된다.
히터(220)와 접촉되는 제1플레이트(212)의 재질은 열전도도가 상대적으로 높은 알루미늄이고, 반도체 기판(900)이 놓여지는 제2플레이트(214)의 재질은 상대적으로 열전도도가 낮은 티타늄 또는 스테인레스 스틸이다. 서로 다른 열전도도를 갖는 제1플레이트(212)와 제2플레이트(214)가 적층된 핫 플레이트(210)는 단일 재료로 이루어지는 핫 플레이트(210)보다 보온성이 뛰어나고, 균일한 온도 분포 특성을 갖는다. 따라서, 제2플레이트(214)에 놓여지는 반도체 기판(900)은 균일하게 가열된다. 또한, 보온성이 뛰어난 핫 플레이트를 사용함으로서 반도체 기판(900)을 가열하기 위한 에너지를 절감할 수 있다.
또한, 반도체 기판(900)이 놓여지는 제2플레이트(214)의 재질을 티타늄 또는 스테인레스 스틸로 형성함으로서 반도체 기판(900)을 가공하기 위한 챔버(도시되지 않음) 내부 공간으로 열이 손실되는 것을 최소화할 수 있고, 반도체 기판(900)이 제2플레이트(214)에 의해 가열될 때, 급속한 가열로 인한 열 충격을 최소화할 수 있다.
그리고, 반도체 기판(900)이 놓여지는 제2플레이트(214)의 가장자리에는 제2플레이트(214)의 온도를 측정하기 위한 온도 센서(230)가 설치된다. 온도 센서(230)는 제2플레이트(214)의 온도를 보다 정확하게 측정하기 위해 제2플레이트(214)의 가장자리를 따라 다수개가 설치된다. 온도 센서(230)와 연결된 제어부(232)는 온도 센서(230)의 신호에 따라 제2플레이트(214)의 온도를 항상 일정하게 유지하기 위한 제어 신호를 전원 공급기(234)로 전송하고, 전원 공급기(234)는 상기 제어 신호에 따라 히터(220)로 제공되는 전력량을 조절한다.
도 3은 본 발명의 제2실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 3을 참조하면, 제2실시예에 따른 기판 가열 장치(300)는 핫플레이트(310)를 제외한 다른 부분은 도 2에 도시한 제1실시예와 동일하다. 여기서 제1실시예와 동일한 부분에 대한 설명은 생략하기로 한다. 제2실시예에 따른 기판 파지 장치(300)는 상대적으로 높은 열전도도를 갖는 알루미늄으로 이루어지는 제1플레이트(312)와, 제1플레이트(312)의 상부면 및 하부면에 부착되고 상대적으로 낮은 열전도도를 갖는 티타늄 또는 스테인레스 스틸로 이루어지는 제2플레이트(314)를 포함하는 핫 플레이트를 갖는다.
설명되지 않은 도면 부호 320, 322, 330, 332 및 334는 각각 히터, 열선, 온도 센서, 제어부 및 전원 공급기를 나타낸다.
일반적으로, 2층 구조를 갖는 복합 판재보다 3층 구조를 갖는 복합 판재의 보온성 및 온도의 균일 분포 정도가 더 우수한 것으로 알려져 있다.
표 1에는 핫 플레이트로 사용되는 소재들의 열전도도가 표기되어 있다.
재 질 두께(mm) 열전도도(W/mK)
표면에수직방향 표면에수평방향
304 스테인레스 스틸, 알루미늄 2 28 178
304 스테인레스 스틸, 알루미늄, 304 스테인레스 스틸 2 16 127
티타늄, 알루미늄 1.6 38 177
304 스테인레스 스틸 - 16
티타늄 - 17.2
알루미늄 합금(3004) - 163
순수 알루미늄 - 221
구리 - 394
표 1을 참조하면, 2층 또는 3층 구조의 경우, 플레이트의 표면에 대하여 수직 방향으로는 알루미늄 단일 소재보다 열전도도가 낮지만, 표면에 대하여 수평 방향으로는 거의 비슷한 것을 알 수 있다. 따라서, 알루미늄 단일 재질로 이루어지는 핫 플레이트의 경우 반도체 기판이 로딩되지 않았을 때 알루미늄의 열전도도가 높기 때문에 챔버 내부 공간으로 많은 에너지가 지속적으로 손실된다. 또한, 히터에 의해 가열되는 부위에 따라 불균일한 온도 분포를 나타낼 수 있다.
그러나, 2층 또는 3층 복합 판재의 경우 챔버 내부 공간으로 노출되는 부위가 티타늄 또는 스테인레스 스틸로 이루어짐으로서, 챔버 내부 공간으로 손실되는 에너지를 절감할 수 있다. 또한, 표면에 수직 방향 열전도도와 수평 방향 열전도도의 차이로 인해 균일한 온도 분포를 얻을 수 있다.
도 4는 본 발명의 제3실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 4를 참조하면, 본 발명의 제3실시예에 따른 기판 가열 장치(400)는 제1실시예 및 제2실시예의 구조와 유사한 구조를 갖는다. 단지, 핫 플레이트(410)의 구조가 변경되어 있다. 본 발명의 제3실시예에 따른 기판 가열 장치(400)의 핫 플레이트(410)는 히터(420)에 의해 가열되는 제1플레이트(412)와, 제1플레이트(412)의 상부면에 부착되는 제2플레이트(414) 및 제2플레이트(414)의 상부면에 부착되고, 반도체 기판(900)이 놓여지는 제3플레이트(416)를 포함한다.
제1플레이트(412)는 열전도도가 상대적으로 높은 구리로 이루어지고, 제2플레이트(412)는 구리보다 열전도도가 낮은 알루미늄으로 이루어지고, 제3플레이트(416)는 알루미늄보다 열전도도가 낮은 스테인레스 스틸 또는 티타늄으로 이루어진다. 이때, 핫 플레이트(410)의 온도를 측정하는 센서(430)는 제3플레이트(416)의 가장자리에 구비된다.
설명되지 않은 도면 부호 422, 432 및 434는 각각 열선, 제어부 및 전원 공급기를 나타낸다.
도 5는 본 발명의 제4실시예에 따른 기판 가열 장치를 설명하기 위한 개략적인 구성도이다.
도 5를 참조하면, 본 발명의 제4실시예에 따른 기판 가열 장치(500)는 램프 가열 방식을 이용한다. 핫 플레이트(510)의 구조는 제1실시예와 동일하게 2층 구조를 갖는다. 또한, 제2실시예 및 제3실시예에서 설명한 3층 구조를 사용하는 것도 가능하다.
핫 플레이트(510)의 하부면 가장자리에는 램프 하우징(520)이 연결되고, 램프 하우징(520)의 내부에 핫 플레이트(510)를 가열하기 위한 텅스텐 램프(522)가 구비된다. 램프 하우징(520)의 중앙 부위에는 석영 플레이트(524)가 설치되고, 텅스텐 램프(522)로부터 방사되는 빛은 석영 플레이트(524)를 통해 핫 플레이트(510)를 가열한다.
그리고, 기판 가열 장치(500)는 핫 플레이트(510)의 가장자리에 설치되는 온도 감지 센서(530)와 텅스텐 램프(522)의 발광 정도를 조절하기 위한 제어 신호를 발생시키는 제어부(532)와 텅스텐 램프(522)로 전력을 공급하는 전원 공급기(534)를 포함한다.
설명되지 않은 도면 부호 512 및 514는 각각 제1플레이트 및 제2플레이트를 나타낸다.
도 6은 도 2에 도시한 기판 가열 장치를 갖는 장치를 설명하기 위한 개략적인 구성도이다.
도 6을 참조하면, 상기 장치는 반도체 기판(900)을 가공하기 위한 챔버(600)를 포함한다. 챔버(600)의 내부에는 반도체 기판(900)이 놓여지는 핫 플레이트(210)가 구비되고, 반도체 기판(900)을 가열하기 위한 히터(220)가 핫 플레이트(210)의 하부면과 접촉되도록 구비된다.
챔버(600)의 상부에는 반도체 기판(900)을 가공하기 위한 가스가 제공되는 가스 제공부(602)가 구비되고, 가스 제공부(602)와 핫 플레이트(210) 사이에는 상기 가스를 균일하게 챔버(600) 내부로 공급하기 위한 배플 플레이트(baffle plate, 604)가 구비된다. 그리고, 챔버(600)의 일측에는 반도체 기판(900)을 가공하는 공정이 진행되는 도중에 발생하는 반응 부산물 및 미반응 가스를 배출하는 가스 배출 라인(606)과 진공 펌프(608)가 연결되고, 가스 배출 라인(606)에는 챔버(600) 내부의 진공도를 조절하는 밸브(610)가 설치된다.
핫 플레이트(210)의 외측에는 반도체 기판(900)을 핫 플레이트로 로딩 또는 언로딩하기 위한 리프터(lifter, 612)가 구비되고, 리프터(612)는 챔버(600)의 하부에 연결되는 구동부(614)에 의해 동작된다.
핫 플레이트(210)는 히터(220)와 접촉되는 제1플레이트(212)와, 제1플레이트(212)의 상부면에 부착되고 반도체 기판(900)이 놓여지는 제2플레이트(214)를 포함한다. 제1플레이트(212)는 상대적으로 열전도도가 높은 알루미늄으로 이루어지고, 제2플레이트(214)는 제1플레이트(212)보다 열전도도가 낮은 티타늄 또는 스테인레스 스틸로 이루어진다.
가스 제공부(602)를 통해 챔버 내부로 실란(SiH4) 가스 및 산소 가스가 제공되고, 상기 실란 가스 및 산소 가스를 플라즈마 상태로 형성하는 공정 조건을 조성할 경우 반도체 기판(900) 상에는 산화막이 형성된다. 이때, 상기 공정 조건 중에서 압력, 온도 및 공정 진행 시간 등은 상기 산화막의 두께에 따라 결정된다.
한편, 포토레지스트 막이 형성된 반도체 기판(900)이 핫 플레이트(210)에 놓여지고, 가스 제공부(602)를 통해 산소 가스가 제공되고, 상기 산소 가스를 플라즈마 상태로 형성하는 공정 조건을 조성할 경우 반도체 기판(900) 상에 형성된 포토레지스트 막이 플라즈마 상태의 산소 가스에 의해 제거된다.
이때, 핫 플레이트(210)의 온도는 핫 플레이트(210)의 가장자리에 설치되는 온도 센서(230)에 의해 측정되고, 온도 센서(230)의 신호에 따라 제어부(도 2 참조, 232)는 핫 플레이트(210)의 온도를 일정하게 유지한다.
따라서, 상기 장치는 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 이루어지는 핫 플레이트를 이용하여 반도체 기판을 가열함으로서, 반도체 기판을 균일하게 가열하고, 핫 플레이트를 일정한 온도로 유지시키는데 필요한 에너지를 절감할 수 있다.
또한, 도 2에 도시한 기판 가열 장치가 반도체 기판 상에 막을 증착하는 장치에 적용될 경우, 반도체 기판 상에 증착되는 막의 두께를 균일하게 할 수 있다. 그리고, 반도체 기판 상에 형성된 포토레지스트 막을 제거하는 애싱 장치에 적용될경우, 반도체 기판 상에 형성되어 있는 포토레지스트 막을 안정적으로 제거할 수 있다.
도 7은 도 6에 도시한 핫 플레이트를 나타내는 사시도이다.
도 7을 참조하면, 핫 플레이트(210)는 히터(도 6 참조, 220)와 연결되는 제1플레이트(212) 및 반도체 기판이 놓여지는 제2플레이트(214)를 포함한다. 제2플레이트(214)의 상부면 가장자리를 따라 배치된 다수개의 온도 센서(230)는 핫 플레이트(210)의 온도를 측정한다. 그리고, 핫 플레이트(210)의 주연 부위에는 반도체 기판을 로딩 또는 언로딩하는 리프터(도 6 참조, 612)가 수납되는 다수개의 홈(216)이 형성되어 있다.
도 8은 도 2에 도시한 기판 가열 장치를 갖는 장치의 다른 예를 설명하기 위한 개략적인 구성도이다.
도 8을 참조하면, 도 2에 도시한 기판 가열 장치(200)가 반도체 기판(900) 상에 도포된 포토레시스트 층을 경화시키는 베이크 장치에 적용되고 있다. 베이크(bake) 챔버(700) 내부에는 핫 플레이트(210)가 구비되고, 핫 플레이트(210)의 하부면에 접촉되도록 히터(220)가 구비된다.
핫 플레이트(210)는 열전도도가 다른 제1플레이트(212) 및 제2플레이트(214)를 적층한 복합 판재로 이루어진다. 제1플레이트(212)의 재질은 알루미늄이고, 제2플레이트(214)의 재질은 티타늄 또는 스테인레스 스틸이다. 그리고, 제1플레이트(212)는 히터와 연결되고, 제2플레이트(214)에는 반도체 기판이 놓여진다.
베이크 챔버(700) 내부에는 히터(220)와 핫 플레이트(210)가 놓여지는 패널(panel, 702)이 구비된다. 패널(702)은 중앙 부위에 히터(220)와 핫 플레이트(210)가 놓여지는 요부가 형성되어 있다. 챔버(700)의 하부에는 반도체 기판(900)을 로딩 또는 언로딩하기 위한 리프트 핀(lift pin, 704)을 구동하기 위한 제1구동부(706)가 연결되고, 리프트 핀(704)은 패널(702)과 히터(220) 및 핫 플레이트(210)를 관통하여 설치된다.
베이크 챔버(700)의 상부에는 베이크 공정이 진행되는 동안 패널(702)의 가장자리와 밀착되어 반도체 기판(900)이 외기와 접촉되지 않도록 하는 커버(708)가 구비된다. 커버(708)는 베이크 챔버(700) 상부에 구비되는 제2구동부(710)에 의해 상하로 구동된다.
따라서, 복합 판재로 이루어지는 핫 플레이트(210)에 의해 가열되는 반도체 기판(900)은 균일한 온도로 가열되고, 균일한 두께를 갖는 포토레지스트 막이 형성된다.
상기한 바와 같이 본 발명에 따르면, 반도체 기판을 가열하기 위한 핫 플레이트는 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 이루어진다. 상기 핫 플레이트는 균일한 온도 분포 특성과 보온성을 갖는다.
따라서, 본 발명에 따른 기판 가열 장치는 반도체 기판을 균일하게 가열할 수 있으며, 반도체 기판을 가열하기 위한 에너지를 절감할 수 있다. 또한, 상기 기판 가열 장치를 갖는 가공 장치들은 반도체 기판을 고온으로 가열한 상태에서 수행되는 다수의 공정들을 안정적으로 수행할 수 있다. 예를 들면, 상기 가공 장치들은 반도체 기판 상에 막을 형성하는 공정에서 균일한 두께를 갖는 막을 형성할 수 있고, 반도체 기판 상에 형성된 포토레지스트 막을 제거하는 공정에서 상기 포토레지스트 막을 안정적으로 제거할 수 있다. 또한, 반도체 기판 상에 도포된 포토레지스트 조성물을 경화시키는 공정에서 일정한 두께를 갖는 포토레지스트 막을 형성시킬 수 있다. 이에 따라, 상기 가공 장치들에 의해 제조되는 반도체 장치의 신뢰도 및 생산성이 향상된다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (18)

  1. 기판을 가열하기 위한 열을 제공하는 히터;
    상기 기판이 놓여지고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트;
    상기 핫 플레이트의 상부면 일측에 설치되고, 상기 핫 플레이트의 온도를 감지하는 센서; 및
    상기 센서와 연결되고, 상기 센서의 온도 감지 신호에 의해 상기 핫 플레이트의 온도를 조절하는 제어부를 포함하되,
    상기 핫 플레이트는, 제1열전도도를 갖는 제1플레이트, 및 상기 제1플레이트의 상부면에 부착되고, 상기 제1열전도도보다 낮은 제2열전도도를 갖는 제2플레이트를 포함하는 것을 특징으로 하는 기판 가열 장치.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서, 상기 제1플레이트는 알루미늄으로 이루어지고, 상기 제2플레이트는 스테인레스 스틸 또는 티타늄으로 이루어지는 것을 특징으로 하는 기판 가열 장치.
  5. 제1항에 있어서, 상기 핫 플레이트는, 상기 제1플레이트의 하부면에 부착되고, 상기 제2플레이트와 같은 제2열전도도를 갖는 제3플레이트를 더 포함하는 것을 특징으로 하는 기판 가열 장치.
  6. 제5항에 있어서, 상기 제1플레이트는 알루미늄으로 이루어지고, 상기 제2플레이트 및 제3플레이트는 스테인레스 스틸 또는 티타늄으로 이루어지는 것을 특징으로 하는 기판 가열 장치.
  7. 제1항에 있어서, 상기 핫 플레이트는, 상기 제2플레이트의 상부면에 부착되고, 상기 제2열전도도보다 낮은 제3열전도도를 갖는 제3플레이트를 더 포함하는 것을 특징으로 하는 기판 가열 장치.
  8. 제7항에 있어서, 상기 제1플레이트는 구리로 이루어지고, 상기 제2플레이트는 알루미늄으로 이루어지고, 제3플레이트는 스테인레스 스틸 또는 티타늄으로 이루어지는 것을 특징으로 하는 기판 가열 장치.
  9. 제1항에 있어서, 상기 히터는 전기에 의해 발열되는 열선이 내장되어 있는 것을 특징으로 하는 기판 가열 장치.
  10. 제9항에 있어서, 상기 핫 플레이트는 상기 히터의 상부면에 부착되고, 상기 히터로부터 전도되는 열에 의해 가열되는 것을 특징으로 하는 기판 가열 장치.
  11. 제1항에 있어서, 상기 히터는 빛을 발산하는 램프를 포함하는 것을 특징으로 하는 기판 가열 장치.
  12. 제11항에 있어서, 상기 핫 플레이트는 상기 히터의 상부에 구비되고, 상기 히터로부터 방사되는 빛에 의해 가열되는 것을 특징으로 하는 기판 가열 장치.
  13. 삭제
  14. 제13항에 있어서, 상기 센서는 상기 핫 플레이트의 가장자리를 따라 다수개가 구비되는 것을 특징으로 하는 기판 가열 장치.
  15. 기판을 가공하는 공정이 수행되는 챔버;
    상기 기판을 가공하기 위한 가스를 상기 챔버 내부로 공급하는 가스 제공부;및
    상기 챔버 내부에 구비되고, 상기 기판을 가열하기 위한 열을 제공하는 히터와, 상기 기판이 놓여지고, 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 형성되고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트를 포함하는 기판 가열 수단을 포함하는 것을 특징으로 하는 기판 가공 장치.
  16. 제15항에 있어서, 상기 챔버는 플라즈마를 이용하여 기판 상에 형성된 포토레지스트막을 제거하는 공정이 수행되는 챔버를 포함하는 것을 특징으로 하는 기판 가공 장치.
  17. 제15항에 있어서, 상기 챔버는 플라즈마를 이용하여 기판 상에 막을 형성하는 챔버를 포함하는 것을 특징으로 하는 기판 가공 장치.
  18. 기판 상에 도포된 포토레지스트 층을 경화시키는 공정이 수행되는 챔버; 및
    상기 챔버 내부에 구비되고, 상기 기판을 가열하기 위한 열을 제공하는 히터와, 상기 기판이 놓여지고, 서로 다른 열전도도를 갖는 다수개의 플레이트를 적층한 복합 판재로 형성되고, 상기 히터로부터 제공되는 열에 의해 상기 기판을 가열하는 핫 플레이트를 포함하는 기판 가열 수단을 포함하는 것을 특징으로 하는 기판 가공 장치.
KR10-2001-0061512A 2001-10-05 2001-10-05 기판 가열 장치 및 이를 갖는 장치 KR100431658B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-2001-0061512A KR100431658B1 (ko) 2001-10-05 2001-10-05 기판 가열 장치 및 이를 갖는 장치
US10/264,482 US6787739B2 (en) 2001-10-05 2002-10-04 Apparatus for processing a substrate including a heating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0061512A KR100431658B1 (ko) 2001-10-05 2001-10-05 기판 가열 장치 및 이를 갖는 장치

Publications (2)

Publication Number Publication Date
KR20030029249A KR20030029249A (ko) 2003-04-14
KR100431658B1 true KR100431658B1 (ko) 2004-05-17

Family

ID=29208665

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0061512A KR100431658B1 (ko) 2001-10-05 2001-10-05 기판 가열 장치 및 이를 갖는 장치

Country Status (2)

Country Link
US (1) US6787739B2 (ko)
KR (1) KR100431658B1 (ko)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891134B2 (en) * 2003-02-10 2005-05-10 Asml Netherlands B.V. Integrally formed bake plate unit for use in wafer fabrication system
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7127367B2 (en) 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
KR100664379B1 (ko) * 2004-12-27 2007-01-02 동부일렉트로닉스 주식회사 균일한 온도 분포를 갖는 베이크 장치
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009026300A1 (de) * 2009-07-31 2011-02-10 Solibro Gmbh Herstellungsverfahren und Herstellungsvorrichtung zur Herstellung von Dünnfilmsolarzellen
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101284040B1 (ko) * 2011-06-01 2013-07-17 오임환 동파 방지용 led pcb 및 동파 방지용 led 램프 유니트
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
AU2015203558B2 (en) * 2011-08-30 2017-04-13 Watlow Electric Manufacturing Company High definition heater and method of operation
WO2013033402A1 (en) 2011-08-30 2013-03-07 Watlow Electric Manufacturing Company Method of manufacturing a high definition heater system
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
DE102013220908B4 (de) * 2013-10-15 2015-09-24 Continental Automotive Gmbh Sensorelement
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9518946B2 (en) 2013-12-04 2016-12-13 Watlow Electric Manufacturing Company Thermographic inspection system
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10761041B2 (en) 2017-11-21 2020-09-01 Watlow Electric Manufacturing Company Multi-parallel sensor array system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01265516A (ja) * 1988-04-15 1989-10-23 Mitsubishi Electric Corp 半導体ウエハ用ホットプレートオーブン
JPH01319937A (ja) * 1988-06-21 1989-12-26 Matsushita Electric Ind Co Ltd 焦電材料の加熱処理方法
JPH08273816A (ja) * 1995-03-31 1996-10-18 Toshiba Corp ホットプレートおよびその製造方法
JPH1167619A (ja) * 1997-08-08 1999-03-09 Yuasa Seisakusho:Kk 基板加熱装置
US5904872A (en) * 1994-09-29 1999-05-18 Tokyo Electron Limited Heating device, method of manufacturing the same, and processing apparatus using the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3845273A (en) * 1973-11-28 1974-10-29 Gen Electric Composite metal plate surface heating unit
US4394564A (en) * 1981-12-21 1983-07-19 General Electric Company Solid plate heating unit
DE8515560U1 (de) * 1985-05-25 1985-08-29 E.G.O. Elektro-Geräte Blanc u. Fischer, 7519 Oberderdingen Kochstellen-Beheizung
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
FR2682253A1 (fr) * 1991-10-07 1993-04-09 Commissariat Energie Atomique Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole.
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
KR100249391B1 (ko) 1997-12-30 2000-03-15 김영환 가열장치
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
JP3352418B2 (ja) * 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01265516A (ja) * 1988-04-15 1989-10-23 Mitsubishi Electric Corp 半導体ウエハ用ホットプレートオーブン
JPH01319937A (ja) * 1988-06-21 1989-12-26 Matsushita Electric Ind Co Ltd 焦電材料の加熱処理方法
US5904872A (en) * 1994-09-29 1999-05-18 Tokyo Electron Limited Heating device, method of manufacturing the same, and processing apparatus using the same
JPH08273816A (ja) * 1995-03-31 1996-10-18 Toshiba Corp ホットプレートおよびその製造方法
JPH1167619A (ja) * 1997-08-08 1999-03-09 Yuasa Seisakusho:Kk 基板加熱装置

Also Published As

Publication number Publication date
US6787739B2 (en) 2004-09-07
KR20030029249A (ko) 2003-04-14
US20030066826A1 (en) 2003-04-10

Similar Documents

Publication Publication Date Title
KR100431658B1 (ko) 기판 가열 장치 및 이를 갖는 장치
EP1205964B1 (en) Plasma process device, electrode structure thereof, and stage structure
JP4593381B2 (ja) 上部電極、プラズマ処理装置およびプラズマ処理方法
US8409995B2 (en) Substrate processing apparatus, positioning method and focus ring installation method
US7732010B2 (en) Method for supporting a glass substrate to improve uniform deposition thickness
KR100861564B1 (ko) 반도체 기판 지지 장치
US6780251B2 (en) Substrate processing apparatus and method for fabricating semiconductor device
US20100122774A1 (en) Substrate mounting table and substrate processing apparatus having same
JP5578762B2 (ja) 表面テクスチャリングを組み込んだプラズマリアクタ基板
US20060231032A1 (en) Film-forming method and apparatus using plasma CVD
JP2005051200A5 (ko)
TW201936014A (zh) 電漿處理裝置
KR20170077013A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20030032734A (ko) 반도체 소자 제조장치용 히터 어셈블리
JP5745394B2 (ja) 基板支持体、プラズマ反応装置、および、サセプターを形成する方法
KR101028362B1 (ko) 성막 장치
JP3916040B2 (ja) 反応管及び熱処理装置
JP4246416B2 (ja) 急速熱処理装置
KR20030094492A (ko) 기판을 지지하기 위한 척 및 이를 제조하는 방법
KR100275918B1 (ko) 기판처리장치
KR20160117185A (ko) 반도체 장치의 제조 방법
JP4167523B2 (ja) 基板処理装置
TW202224088A (zh) 半導體處理腔室的覆蓋晶圓
KR20060124853A (ko) 반도체 디바이스 제조를 위한 식각 장비의 에싱 챔버
KR20030047278A (ko) 스퍼터링 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee