TWI540221B - 使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法 - Google Patents

使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法 Download PDF

Info

Publication number
TWI540221B
TWI540221B TW099127063A TW99127063A TWI540221B TW I540221 B TWI540221 B TW I540221B TW 099127063 A TW099127063 A TW 099127063A TW 99127063 A TW99127063 A TW 99127063A TW I540221 B TWI540221 B TW I540221B
Authority
TW
Taiwan
Prior art keywords
species
gas
nitrogen
oxidant
substrate
Prior art date
Application number
TW099127063A
Other languages
English (en)
Other versions
TW201126009A (en
Inventor
艾瑞克 席羅
派提I 瑞薩能
鄭相鎬
王強剛
Original Assignee
Asm美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm美國公司 filed Critical Asm美國公司
Publication of TW201126009A publication Critical patent/TW201126009A/zh
Application granted granted Critical
Publication of TWI540221B publication Critical patent/TWI540221B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/60Feed streams for electrical dischargers
    • C01B2201/64Oxygen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法 相關申請案之交叉引用
本申請案係關於並且主張2009年8月14日提出申請且標題為「SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES」之臨時專利申請案第61/234,017號及2010年5月7日提出申請且標題為「SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES」之臨時專利申請案第61/332,600號之優先權,該等申請案皆以引用方式併入本文。
發明敘述
本發明係關於薄膜沉積,更特定而言係關於藉由使用臭氧及激發氮-氧物種之原子層沉積使用前驅物來沉積金屬氧化物之系統及方法。
許多年來,已在用於諸如電晶體閘極介電質及電容器介電質之構件的半導體基板中使用二氧化矽(SiO2)。然而,隨著電路構件尺寸減小,SiO2之電氣性能特徵導致諸如漏洩電流增加之類不良效果。當使用諸如SiO2之類老一代介電質來製造較新的積體電路幾何形狀時,挑戰在於控制漏洩電流以維持高速度低功率性能。
較新的方法,尤其該等使用小於65 nm之製造幾何形狀之方法已開始在半導體製造中包含高介電常數(「高-k」)絕緣體。尤其對45 nm及更小的製程幾何形狀而言,一些晶片製造商現依賴於高-k介電質。對於達成更小的裝置幾何形狀並同時控制洩漏及其他電氣性能標準而言,重要之處在於用高-k介電質替代SiO2閘極介電質。
雖然高-k介電質之使用允許諸如電晶體閘極介電質之類積體電路構件的尺度更小,但在其製造中存在挑戰。雖然已知諸如氧化鋯、氧化鈦、氧化鉿、氧化鉭、氧化鋁、氧化釔及氧化鑭之類某些金屬氧化物及稀土氧化物在作為薄膜沉積時可提供所需特徵,但在製造方法中仍存在挑戰,諸如在製程化學、延長的沉積循環時間及較小的所需沉積均勻度之間的不相容性。
存在各種各樣用於在諸如半導體之基板上提供薄膜之方法及相關設備。一些方法藉由利用半導體上之表面反應來在基板上形成薄膜,諸如真空蒸發沉積法、分子束磊晶法、化學蒸氣沉積(CVD)之不同變體(包含低壓CVD、有機金屬CVD及電漿增強CVD)及原子層磊晶法(ALE)。ALE亦稱為原子層沉積(ALD)。
ALD為經由按順序引入各種前驅物物種在基板表面上沉積薄膜之方法。習知的ALD設備可包含反應室(包含反應器及基板座)、氣體流動系統(包含用於向基板表面提供前驅物及反應物之氣體入口及用於移除所用氣體之排氣系統)。生長機構依賴於在基板活性位點上吸附前驅物,且較佳地將條件維持以使得在基板上僅有單層形成,從而自行終止該製程。將基板暴露於第一前驅物之後,通常為沖洗階段或其他移除過程(例如,抽空或「抽取」),其中自反應室中移除任何過量的第一前驅物及任何反應副產物。然後,將第二反應物或前驅物引入反應室,此時該第二反應物或前驅物與第一前驅物反應,且此反應在基板上產生所需薄膜。當在基板上吸附的所有可用第一前驅物物種已與第二前驅物反應時,反應終止。然後,執行第二沖洗或其他移除階段,從而除去反應室中任何剩餘第二前驅物及可能的反應副產物。可重複此循環以使薄膜生長至所需厚度。
ALD較其他沉積方法而言之一個已知優點在於,只要溫度在ALD窗口(其高於反應物之凝結溫度且低於反應物之其熱分解溫度)內且在各脈衝中提供足夠反應物以使表面飽和,則其自飽和且均勻。因此,為獲得均勻沉積,並不需要溫度及氣體供應完全均勻。
ALD在芬蘭專利公開案52,359及57,975及美國專利第4,058,430號及第4,389,973號中有進一步描述。用於實施該等方法之設備揭示於美國專利第5,855,680號、第6,511,539號及第6,820,570號、芬蘭專利第100,409號、1989年之Material Science Report 4(7)第261頁及Tyhjiotekniikka(對於真空技術之芬蘭公告),ISBN 951-794-422-5,第253頁-第261頁。
已採用ALD沉積不同薄膜材料。用於ALD之已知材料包含諸如Al2O3、HfO2、ZrO2、La2O3及Ta2O5之二元氧化物。各種三元氧化物亦為用於ALD之熟知材料,且包含HfZrO、HfAlO及HfLaO。如先前所述,對用於高-k介電質應用之合適材料的選擇需要考慮沉積物質對特定基板及電路環境之影響,及需要考慮製程化學。在HfLaO之ALD狀況下,已知Hf-前驅物為HfCl4且已知La-前驅物為La(THD)3。由於La2O3之吸濕性質,在先前技術方法中通常使用臭氧(O3)而非H2O來作為氧化劑,但遺憾地,HfCl4/O3方法及La(THD)/O3方法皆對臭氧中存在之即使很小的改變高度敏感。在一些例子中,臭氧之使用亦導致小於沉積氧化物薄膜之所需均勻度。此外,當需要單氧化劑(諸如臭氧)可以無論用於沉積方法之金屬前驅物之類型如何皆獲得有效且一致的沉積結果之方式來使用時,控制兩種不同的氧化化學作用使得沉積方法複雜化。
可使用電漿放電來激發氣體,以產生含有離子、自由基、原子及分子之活化氣體。活化氣體用於許多工業應用及科學應用,包含處理諸如半導體晶圓、粉末之固態材料及其他氣體。電漿參數及將電漿暴露於正在處理之材料之條件視應用而廣泛變化。
電漿可以各種方式產生,包含電流放電、射頻(RF)放電及微波放電。電流放電係藉由在氣體中在兩個電極之間施加電位來達成。RF放電係藉由將來自電源之能量靜電地或感應地耦合至電漿中來達成。通常使用平行板來將能量靜電耦合至電漿中。感應線圈通常用於將電流感應至電漿中。微波放電係藉由將微波能量經由微波通行視窗直接耦合至含有氣體之放電室中來達成。微波放電具有優勢,因為其可用於支援寬範圍的放電條件,包含高度離子化電子迴旋加速器共振(ECR)電漿。
ALD系統已使用了基於電漿的途徑來產生諸如臭氧之氧化劑氣體。在一個共用配置中,介電質障壁放電(DBD)臭氧產生器自作為饋入氣體提供至電暈放電源之氧(O2)來產生臭氧(O3)。參閱圖5,圖示了簡化的DBD臭氧產生器單元500。通常,乾燥饋入氣體氧530係穿過形成於電極510A與電極510B之間的間隙505,該等電極輪流由諸如交流電(AC)電壓源560之高壓源來通電。由該源560產生之電壓取決於產生器之配置而可達幾千伏特。或者,該等電極中之一個電極可處於接地電位,且另一個電極經通電至高壓。介電質材料520A、介電質材料520B係在通電的電極510A、電極510B及饋入氣體530之間插入。當低頻或高頻之高壓施加至電極510A、電極510B時,藉由間隙505中發生且橫跨介電質520A、介電質520B分佈之微放電在饋入氣體中產生臭氧550。間隙之幾何形狀及介電質材料之品質隨臭氧產生器生產商而變化。值得注意地,可使用由介電質分離的或呈圓柱形的平行板、使用具有介於其間之介電質管的同軸板以許多配置(通常為平坦配置)來製造DBD裝置。在共用之同軸配置中,該介電質經成形為與共用之螢光管相同之形狀。在大氣壓力下用稀有氣體或稀有氣體鹵化物混合物來充填該介電質,且用玻璃壁來作為介電質障壁。共用介電質材料包含玻璃、石英、陶瓷及聚合物。電極之間的間隙距離取決於應用而自0.1毫米至幾公分顯著變化。饋入氣體之組成物亦為臭氧產生器操作中之重要因素。
使用DBD原理之高效臭氧產生器需要饋入氣體中的氮來獲得最佳效能及一致的臭氧產生。臭氧之形成涉及在氧原子、氧分子及碰撞夥伴(諸如O2、N2或可能的其他分子)之間的反應。若碰撞夥伴為氮,則氮分子能夠將其激發能量(在衝擊後)傳遞至氧分子,從而導致解離。形成的一些激發氮自由基亦可解離氧或與氧化氮反應以釋放氧原子。可在該製程中產生許多不同形式之氮-氧化合物-NO、NO2、N2O及N2O5,已在輸出DBD-型臭氧產生器中對該等氮-氧化合物進行了量測。一些生產商已在致力於自其臭氧產生器之輸出臭氧流中減少或消除某些N-O物種之存在,如在一些例子中,可能發生臭氧流中N-O化合物對氣體線及焊縫之侵蝕性腐蝕。在習知的臭氧產生器中,缺乏對臭氧產生器之輸出流中N-O化合物之存在及類型的控制,且需要能夠監控及/或積極地控制此類化合物之形成及產生。
因此,需要一種用減少的流程時間在基板上沉積具有增強沉積均勻度之介電質薄膜之方法。亦需要一種系統來監控及/或控制在諸如臭氧產生器之氧化劑產生器中產生的氮-氧化合物。
本發明包含用於在基板上沉積具有增強沉積效率及均勻度之諸如氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)及氧化鉭(Ta2O5)之類金屬氧化物薄膜的方法及系統。本發明之具體表現利用組合各種前驅物之ALD系統,如在下文闡述,組合分子及激發氮-氧自由基/離子物種(下文稱為「NxOy物種」,其中「x」及「y」可構成任何合適整數,且該NxOy物種可包含諸如NO*及N2O*之激發物種),可能進一步組合諸如臭氧之氧化劑。本發明之具體表現亦包含電子構件及包含經由與本發明一致之方法製造的裝置的系統。
在使用金屬鹵化物前驅物/臭氧氧化劑化學作用之薄膜金屬氧化物之ALD沉積中進行的實驗期間,當基板暴露於已由使用純氧饋入氣體產生的臭氧氧化劑時在基板上並未觀察到生長發生。然而,當在臭氧產生器中將氣態氮添加入氧流時(如普遍實施以增加臭氧產生效率一般),在ALD沉積製程期間觀察到層生長。舉例而言,在使用由純氧產生之臭氧的各種試驗中,在300℃時不能沉積均勻的HfO2層或ZrO2層,但當由氧/氮饋入氣體產生臭氧時,可沉積均勻的層。不同的試驗亦展示,生長率及均勻度取決於臭氧產生器中所用氮的量相對於氧饋入氣體的量。
經實驗進一步測定,用於產生臭氧的N2饋入氣體之濃度對沉積製程有所影響。圖10展示一個此類試驗之圖表,其中0 ppm的N2展示出極少的均勻生長,40 ppm的N2引起生長增加,且當將N2調整至400 ppm時,發生顯著的均勻生長。然後,如圖11-圖12中圖示,經閉迴路控制且隨著圖表中所圖示之氮氣濃度變化,使用2.5 slm、18wt%之臭氧產生器中之O2流進行額外的實驗。進入反應室之臭氧注射流為1200 sccm。歷時3秒將HfCl4前驅物脈衝入該室,隨後進行3秒的沖洗,然後,歷時10秒將自臭氧產生器獲得之氣體脈衝入反應室,隨後進行10秒的沖洗。因此,沉積的金屬氧化物層之生長率在氮氣濃度增加時開始立即增加,且在氮氣濃度達到約110 ppm時達到第一峰(如在近視圖圖11中所見,該圖表示圖12之圖形的最左邊部份),且在氮氣濃度進一步增加時開始緩慢下降。同樣,均勻度(NU %)受到改良,且在約110 ppm的氮氣濃度處達到其最佳值。圖12展示在N2濃度增加時的額外影響;首先,在N2增加至約4000 ppm範圍時,厚度降低且均勻度降低,但然後,在N2濃度增加時趨勢自身顛倒,在24000 ppm的N2附近顯著變平。根據對沉積層之生長率及均勻度之所需效果,可將N2濃度調整以達成所需效果。圖13展示使用類似HfCl4前驅物及製程參數之方法之不同圖式,但展示出生長率及均勻度與供應至臭氧產生器之N2饋入氣體的流率有關。如可在該圖形中所見,增加N2流使沉積氧化鉿層之生長率實質增加且其均勻度得以改良。
使用其他ALD前驅物化學作用之實驗亦展示出當在臭氧產生器中氮饋入氣體濃度增加時金屬氧化物之沉積的改良。圖14圖示了展示在ALD方法中當供應至臭氧產生器之氮饋入氣體量增加時沉積氧化鑭薄膜之厚度及均勻度(NU%)的改良之圖表。在此狀況下使用的前驅物為稀土環戊二烯基(Cp)化合物La(iPrCp)3
進行額外的測試來測定當強氧化劑N2O在ALD方法中單獨用作氧化劑氣體時是否可用HfCl4及TMA前驅物化學作用引起金屬氧化物層生長。N2O氣體並非自臭氧產生型裝置供應,而係自氣瓶供應,且無論在ALD方法期間所用之溫度如何,皆未在此配置下觀察到生長。然而,在臭氧產生期間形成之活性N-O化合物對產生如上所述之均勻層生長有效。
經測定,源自將氧及氮暴露於電漿源所得之各種氮化合物導致產生增強薄膜沉積方法之生長率及均勻度的活性化合物。本發明之具體表現利用氮及氧化合物(特定而言,由將成份氣體暴露於電漿源而獲得的激發N-O物種)以在ALD方法中獲得金屬氧化物層之均勻生長。熟悉相關技術者亦瞭解,激發N-O物種之使用亦可用於上述的其他類型沉積方法中。
在一個具體表現中,本發明之方法及系統利用含有呈自由基形式之氮-氧化合物之離子及活性物種(本文稱為活性NxOy物種,其中「x」及「y」可包括任何合適整數)的活化氣體以增強包含稀土氧化物之薄膜金屬氧化物的沉積。在反應器中已將基板暴露於ALD前驅物脈衝/沖洗循環之後,在氧化脈衝期間在存在或不存在諸如臭氧之額外氧化劑之情況下將氣體中之離子/自由基引入具有基板之反應器中。允許引入之氣體接觸待處理材料,從而發生所需反應。在一個具體表現中,在存在或不存在額外氧化劑之情況下藉由引入活化NxOy物種來氧化沉積材料之有機金屬層或含金屬鹵化物層。
如本文所用,「基板」係指在其上執行薄膜處理的任何表面。舉例而言,其上可執行處理的基板取決於應用可由以下材料組成:諸如矽、氧化矽、絕緣體上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,或任何其他合適材料:諸如金屬、金屬氮化物、金屬合金,或其他導電材料、印刷有機或無機電路板或薄膜陶瓷基板。在較佳具體表現中,基板包括半導體。基板表面上之障壁層、金屬或金屬氮化物包含鈦、氮化鈦、氮化鎢、鉭及氮化鉭。基板可具有任何所需尺寸,諸如直徑為200 mm或300 mm的晶圓,且亦可呈長方形板或正方形板的形式。
如本文所用,「脈衝」係指引入一定量的化合物,該化合物經間歇地或非連續地引入反應室之反應區中。在各脈衝內之特定化合物的量可隨時間而變化,這取決於脈衝之持續時間。如下文更加全面闡述,取決於若干因素,諸如,所用處理室之體積容量、其連接之真空系統及其特定化合物之揮發性/反應性,對各脈衝之持續時間進行選擇。
在一個具體表現中,提供一種用於在位於反應室內之基板上沉積薄膜的方法,該方法包括將原子層沉積循環用於該基板,該循環包括:將基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;及將基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。前驅氣體可包含任何合適金屬,且本發明之各種具體表現包含包括諸如Sc、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、Yb及Lu之一或多種稀土金屬的前驅氣體。前驅氣體可包括任何所需化合物,諸如金屬化合物、有機金屬化合物或金屬鹵化物化合物,包含(但不限於)四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5);五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含La(THD)3)及(Y(THD)3;稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含三甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含肆-乙基-甲胺基鉿(TEMAHf)、肆(二乙胺基)鉿((Et2N)4Hf或TDEAH)及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物;四氯化矽;四氟化矽;及四碘化矽。
氧化劑氣體可包括任何合適氧化劑,且可僅包含含氮物種氣體。該含氮物種氣體可包含活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中至少一種物質。氧化劑較佳可含有與選自由O、O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy自由基物種、NxOy離子物種、NxOy分子物種及其組合組成之群組的一或多種氣體組合的臭氧。可在氧化劑氣體中使用各種活性濃度之臭氧,包含近似5原子百分比至25原子百分比的O3。氧化劑氣體可包含自分解過程產生之分子、或活化離子或自由基物種,該分解過程例如(但不限於)將N2O5*分解為諸如NO2*及NO3*之類產物。
本發明之具體表現中所用臭氧可由供應有O2及氮源氣體之電漿放電來產生,該氮源氣體可包含N2或氮的任何氣態源,諸如NO、N2O、NO2、NO3及N2O5。在各種具體表現中,臭氧產生器之輸出流可包含含氮物種氣體,該含氮物種氣體包含分子NxOy物種及/或加上激發NxOy自由基或離子物種,且臭氧產生器之輸出流可包括O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy、其自由基及O3中兩種或兩種以上物質之混合物,其中該混合物包括約5原子百分比至25原子百分比的O3。可使用任何所需流量比來產生臭氧及NxOy物種,包含其中N2/O2之流量比超過0.001的混合物。氧及氮源氣體之比亦可影響ALD方法之其他方面,該等方面包含沉積薄膜之生長率;橫跨基板之薄膜均勻度;沉積薄膜之介電常數;沉積薄膜之折射率;及沉積薄膜之分子組成。輸出流可包括自分解過程產生之氣體之混合物,該等分解過程例如(但不限於)將N2O5分解為諸如NO2及NO3之類產物。
可藉由至少控制功率輸入、氧氣體輸入或氮輸入來調整本發明之產生器之具體表現。在一個具體表現中,功率輸入控制電漿,且輸送至電漿之功率量決定以下諸項中至少一項:沉積薄膜之生長率;橫跨基板之薄膜均勻度;沉積薄膜之介電常數;沉積薄膜之折射率;及沉積薄膜之分子組成。進一步提供了一種用以調整諸如臭氧之氧化劑產生以達成預定標準之方法,其通過以下方式進行:將O2及氮源氣體暴露於電漿放電;監控由電漿放電產生之O3及激發NxOy物種之比;及調整輸入至電漿放電之功率、外殼溫度、O2流量及氮源氣體之流量中至少一項。可將該標準選擇為產生器操作之任何合適參數,該等參數包含氧化劑流量;氧化劑/NxOy濃度比;活性NxOy物種濃度;活性NxOy物種之比,其中激發NxOy物種氣體含有複數種激發氮-氧化合物;及特定活性氮-氧化合物之濃度。
本發明之具體表現可包含呈任何組合之額外前驅物脈衝及氧化劑脈衝。該方法進一步包含將基板暴露於第二前驅氣體歷時第二前驅物脈衝間隔,然後移除該第二前驅氣體;及在移除該第二前驅氣體之後,將基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。大體而言,本發明之方法包含使用金屬鹵化物前驅物及包括臭氧及激發氮-氧物種之氧化劑來在任何薄膜堆疊中至少一者沉積金屬氧化物。金屬氧化物可包括(例如)Al2O3、HfO2、ZrO2、La2O3及Ta2O5中至少一種物質。金屬鹵化物包括與任何鹵化物元素組合之化合物中之任何金屬。
ALD循環可重複任何數目次以達成諸如預定層厚度之任何所需目標。每個ALD循環的前驅物順序之重複次數亦可變化,同樣,每個ALD循環中第一前驅氣體順序之執行數量與第二前驅氣體順序之執行數量之比亦可變化。
可選擇用於將各種氣體暴露於基板之脈衝間隔以滿足任何所需製程標準,諸如沉積層生長率或循環流程時間。在一個具體表現中,第一前驅物脈衝間隔在300毫秒至5秒之範圍內;第一氧化脈衝間隔在50毫秒至10秒之範圍內;第二前驅物脈衝間隔在500毫秒至10秒之範圍內;且第一氧化脈衝間隔在50毫秒至10秒之範圍內。在較佳具體表現中,第一前驅物脈衝間隔在1秒至2秒之範圍內;第一氧化脈衝間隔在50毫秒至2秒之範圍內;第二前驅物脈衝間隔在1秒至4秒之範圍內;且第一氧化脈衝間隔在50毫秒至2秒之範圍內。
可使用任何所需技術自反應室中移除氣體及反應副產物。在一個例子中,移除前驅氣體及氧化劑氣體之方法包括將沖洗氣體引入反應室中歷時預定的沖洗時段,其中沖洗氣體包括氬氣、氮氣、氦氣、氫氣、成形氣體、氪氣及氙氣中之至少一種氣體;且可將沖洗時段選擇為在約3秒至10秒之範圍內。在替代性具體表現中,沖洗時段在500毫秒至四秒之範圍內。在一個具體實例中,移除前驅氣體及氧化劑氣體中一或多種氣體之方法可包括歷時預定的抽空時段自反應室抽空氣體。
可藉由與本發明一致之方法來製造電子裝置。此類裝置包含無論作為個別構件產生或形成於半導體或其他基板內之電容器、電晶體、FLASH記憶體單元及DRAM記憶體單元。電子裝置可包括金屬氧化物介電層及與介電層連通之導電層,該介電層以本文所述方式藉由將ALD循環應用於基板而沉積於薄膜中。
亦呈現了如下文更全面描述之系統,該系統包括:反應室;連接至反應器室之前驅物反應物源;連接至反應器室之沖洗氣體源;連接至反應器室之氧化劑源;連接至反應器室之激發氮物種源;及系統操作及控制機構,其中該系統經配置以執行本文所述之任何方法的步驟。應理解,本發明之說明書僅為示例性及解釋性,並不限制所要求保護之本發明。
現將詳細參閱本發明之示例性具體表現,該等具體表現之實施例圖示於附圖。
本發明之具體表現提供多種方法,該等方法用於製備各種應用中使用之薄膜,尤其用於沉積在電晶體、電容器及記憶體單元製造中使用之高-k介電質材料及障壁材料。該等方法包含使用原子層沉積(ALD)方法來在基板上沉積金屬氧化物薄膜層。
在本發明之ALD沉積期間沉積於薄膜中之材料可為任何所需材料,諸如介電質材料、障壁材料、導電材料、成核/晶種材料或黏合材料。在一個具體表現中,沉積的材料可為介電質材料,其含有氧及至少一種諸如鑭、鉿、矽、鉭、鈦、鋁、鋯或其組合之額外元素,且在較佳具體表現中,沉積的材料包括金屬氧化物,且更特定言之包括稀土金屬氧化物。在額外具體表現中,介電質材料可含有氧化鉿、氧化鋯、氧化鉭、氧化鋁、氧化鑭、氧化鈦、氧化矽、氮化矽、其氮氧化物(例如,HfOxNy)、其矽酸鹽(例如,HfSixOy)、其鋁酸鹽(例如,HfAlxOy)、其氮氧化矽(例如,HfSixOyNz)及其組合。介電質材料亦可含有不同組成的多層。舉例而言,積層膜可藉由將氧化矽層沉積至氧化鑭鉿層以形成矽酸鑭鉿材料來形成。
在一個具體表現中,本發明之方法及系統利用含有呈自由基形式之氮-氧化合物之離子及活性物種(下文稱為活性NxOy物種)的活化氣體,以增強包含稀土氧化物之薄膜金屬氧化物之沉積。在一個具體表現中,可能用諸如臭氧之氧化劑在金屬前驅物脈衝之後的ALD方法之脈衝期間將NxOy物種提供於基板上。
可購得之臭氧輸送系統(諸如結合ALD方法使用之臭氧輸送系統)普遍依賴介電質障壁放電,且通常利用饋入氣體中之氮氣來提供一致的臭氧產生。經由一系列複雜的電漿反應,各種NxOy物種亦可在存在N2之情況下在電暈內由O2形成。雖然該等物種以各種濃度存在於產生器流出物中,但其並未由僅量測且積極控制O3濃度之輸送系統調整。
使用臭氧的若干ALD方法對臭氧產生之條件極其敏感。舉例而言,HfO2沉積率及薄膜均勻度中的寬響應經實驗觀察與O2:N2饋入氣體比有關,且交叉流熱ALD反應器HfCl4/O3 ALD(使用純O3)中之反應器溫度具有處於低反應器溫度(200-250℃)間的方法視窗。在較高溫度(例如,300℃)下,經由實驗在O3產生期間添加N2時獲得了均勻HfO2層,如圖15中所表示。該等實驗結果支援以下假設:雖然基於臭氧之ALD中之反應性物種可以不排他性地為O3,但在300℃下NxOy物種亦有所貢獻。
因此,進行了研究以首先使用FTIR表徵(自臭氧輸送系統)進入且離開ALD反應器之氣態物種,其與O2:N2饋入氣體比、O3濃度及產生器功率水準有關。在具有N2:O2饋入氣體之O3輸送單元的出口處偵測N2O5及N2O,如圖16中所表示。O3及NxOy物種之壽命經調查與反應器溫度及塗層材料(HfO2、Al2O3等)有關。採用在臭氧與吸收的HfO2-HfCl3半反應期間之反應器流出物的FTIR分析來闡明NxOy物種對HfO2沉積之作用。基於FTIR及圍繞O3及NxOy物種對潛在反應途徑之作用的理論測定了在各種臭氧輸送條件下沉積之HfO2的ALD沉積率、薄膜均勻度及各種容積及電氣薄膜特性。因此,本發明之具體表現包含在使用作為來自臭氧產生的額外輸出引入反應室之各種分子及激發的NxOy物種時在層厚度及一致性上有所改良之ALD沉積。
參閱圖1,呈現了用於使用諸如NxOy物種之活化氣體化合物來沉積金屬氧化物薄膜之方法100。在方法100之開始處(105),將基板放置於反應室內,且加熱至預定溫度。預定溫度可包括任何所需溫度,且本發明之具體表現可包含諸如約130℃至300℃之溫度。在執行方法100期間,反應室經維持在任何所需壓力範圍(諸如,約1 mTorr至約200 Torr)下,且在本發明之一個具體表現中為約2 Torr至6 Torr,且另一具體表現中為約3 Torr至4 Ton,且在又一較佳具體表現中,反應室壓力經維持在約3.5 Torr下。
載體氣體可經連續地或間歇地供給於反應室中,且可用於分佈前驅物產物、反應產物及氧化產物,或用於自反應室中沖洗剩餘氣體或反應副產物。合適的載體氣體或沖洗氣體可包含氬氣、氮氣、氦氣、氫氣、成形氣體或其組合。
在啟動(105)ALD方法之後,在存在或不存在載體氣體之情況下將前驅氣體脈衝(110)至反應室中。前驅氣體可包括任何所需化合物,諸如金屬化合物、有機金屬化合物或金屬鹵化物化合物,包含(但不限於)四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5);五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含(La(THD)3)及(Y(THD)3);稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含四甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含肆-乙基-甲胺基鉿(TEMAHf)、肆(二乙胺基)鉿((Et2N)4Hf或TDEAH)及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物:四氯化矽、四氟化矽及四碘化矽。
在如本文所稱之氣體脈衝期間,將反應室中之基板暴露於供給氣體歷時預定時段,且本文將此時段稱為脈衝間隔。可將把前驅氣體提供至基板之脈衝間隔預定為任何所需時間,例如,可包含約300毫秒至5秒範圍的時間,且在一個具體表現中,該脈衝間隔處於1秒至3秒範圍中。
在基板已暴露於前驅氣體歷時預定的脈衝間隔之後,藉由供給沖洗氣體及/或藉由抽空或抽取自反應室中沖洗(120)前驅氣體。可將沖洗時間或將沖洗氣體供給至反應室以置換及/或移除其他氣體或反應產物的時間選擇為任何所需時間,諸如約3至10秒,且在一些具體表現中可為約500毫秒至5秒。
將如上文所定義之活化NxOy物種氣體引入(130)反應室,且在一個具體表現中,在存在或不存在額外氧化劑之情況下藉由引入活化NxOy物種來氧化步驟(110)所沉積的前驅物材料層。在步驟(130)期間,可將氧化劑/氧化劑氣體或氧化劑/氧化劑氣體之組合同時地或相繼地供給至反應室中以與第一前驅物反應。NxOy物種氣體亦可在存在或不存在諸如氮氣N2之載體氣體之情況下引入,且可能進一步與氧化劑氣體或氧化劑氣體混合物組合來引入。如先前所述,NxOy物種可包括任何活化、離子或自由基N-O化合物,諸如活化一氧化二氮(N2O*)、一氧化氮(NO*)、五氧化二氮(N2O5*)或二氧化氮(NO2*)。NxOy物種氣體可以任何所需方式產生,且在一個具體表現中,NxOy物種由供應有O2、N2、N2O、NO、NH3或任何含氮分子之臭氧產生器經電漿放電來產生,其中含氮分子之濃度大於5 sccm/2000 sccm或2000 ppm。在另一具體表現中,藉由諸如電感耦合法、ECR(電子迴旋加速器共振)法、電容耦合法之遠距或直接電漿法,在存在任何所需饋入氣體之情況下,在反應室內產生NxOy物種或將NxOy物種供應至反應室。在又一具體表現中,在沒有額外氧氣之情況下藉由將諸如NO或N2O之氮-氧氣體饋入電暈放電(諸如由臭氧產生器所提供的)(或者遠距或直接電漿源)來產生NxOy物種。可將額外N2與氮-氧氣體一起提供至電暈放電或電漿源。在又一具體表現中,將化學計量的N2+O2提供至電暈放電或電漿源來產生NxOy*(例如,NO自由基)。
在本發明ALD方法中,可在任何步驟中使用任何所需的氧化氣體,且此類氧化氣體可包含氧氣(O2)、臭氧(O3)、原子氧(O)、水(H2O)、過氧化氫(H2O2)、一氧化二氮(N2O)、一氧化氮(NO)、五氧化二氮(N2O5)、二氧化氮(NO2)、其衍生物或其組合。在一個較佳具體表現中,氧化氣體為臭氧/氧氣(O3/O2)混合物,以使得臭氧濃度處於O3/O2混合物之約5原子百分比的O3至約25原子百分比的O3之範圍內。在一個具體表現中,其中NxOy物種與諸如臭氧/氧氣(O3/O2)混合物之氧化劑同時引入,該等NxOy物種可表現為氧化流體之大於1體積%。在一個替代性較佳具體表現中,加入NxOy物種氣體之氧化氣體為臭氧/氧氣(O3/O2)混合物,以使得臭氧濃度處於O3/O2混合物之約12原子百分比的O3至約18原子百分比的O3之範圍內。
繼續進行NxOy/氧化劑步驟(130)歷時預定脈衝間隔,且其持續時間可為任何合適的時間範圍,諸如約50毫秒至10秒,且在另一具體表現中,第一氧化脈衝間隔處於50毫秒至2秒之範圍內。然後,藉由供給沖洗氣體或藉由抽空或抽取,自反應室中沖洗(140)NxOy氣體或NxOy/氧化劑氣體。可將沖洗時間選擇為任何合適時間,諸如約3-10秒,且在一些具體表現中可為約500毫秒。
一旦已自反應室中沖洗了NxOy物種氣體或NxOy/氧化劑氣體,則繼續進行圖1之方法100,其中進行決定(150)是否要重複(160)該順序。可基於任何所需標準來進行此決定。舉例而言,可基於需要達成沉積物質之特定濃度、厚度及/或均勻度的前驅氣體脈衝順序之數量來進行該決定。在NxOy脈衝步驟達到所需的前驅物比率之前併入複數個前驅物/沖洗步驟的另一具體表現之狀況下亦可進行該決定,尤其在以下具體表現中可進行該決定,其中在暴露於NxOy物種之前將多個不同前驅物應用於基板以獲得諸如三元金屬氧化物的所需基板。舉例而言,以任何次序,含鑭前驅物可用於一個前驅物脈衝中,且含鉿前驅物用於另一前驅物脈衝中,從而在NxOy脈衝步驟之後產生HfLaO氧化物層。重複(160)方法100,直至滿足預定標準為止,此後,該方法結束(155)。
圖2示意性圖示包含反應室之薄膜處理系統200之示例性具體表現,該反應室進一步包含用於將基板(未圖示)維持在預定的壓力、溫度及環境條件下且用於選擇性地將基板暴露於各種氣體之機構。前驅物反應物源220由管道或其他合適機構220A連接至反應室,且可進一步連接至歧管、閥控制系統、質量流量控制系統或其他機構以控制來自前驅物反應物源220之氣態前驅物。前驅物(未圖示)係由前驅物反應物源220供應,反應物(未圖示)在室溫及標準大氣壓力條件下可為液態或固態。此類前驅物可在反應物源真空容器內蒸發,該反應物源真空容器可在前驅物源室內維持在蒸發溫度下或高於蒸發溫度。在此類具體表現中,蒸發的前驅物可用載體氣體(例如,非活性或惰性氣體)傳輸,然後經由管道220A饋入反應室210。在其他具體表現中,前驅物可為標準條件下之蒸氣。在此類具體表現中,前驅物並不需要蒸發,且可以不需要載體氣體。舉例而言,在一個具體表現中,前驅物可儲存於氣瓶中。
沖洗氣體源230亦連接至反應室210,且選擇性地將各種惰性氣體或稀有氣體供應至反應室210以協助自反應室中移除前驅氣體、氧化劑氣體、NxOy物種氣體或廢氣。可供應之各種惰性或稀有氣體可來自固態、液態或儲存氣態形式。氧化劑/NxOy物種源240連接240A至反應室210,再經由管道或其他合適機構220A連接至反應室,且可進一步連接至歧管、閥控制系統、質量流量控制系統或其他機構以控制來自前驅物反應物源220之氣態氧化劑/NxOy物種氣體。
氧化劑/NxOy物種源240經由任何所需機構及任何所需饋入氣體來產生臭氧及NxOy物種,該氧化劑/NxOy物種源240包含習知的臭氧產生器、直接或遠距電漿產生器等。圖4圖示本發明之氧化劑/NxOy物種源240之一個具體表現,其中包含NxOy物種之輸出流240A係自產生器430中由電漿放電產生,自連接420至產生器430之氧化劑源410供應諸如O2之氧化劑,且氮源430連接440至產生器430且供應N2、N2O、NO、NH3或任何含氮分子。產生器430可進一步包括諸如DBD產生器之臭氧產生器、或利用任何遠距或直接電漿活化方法(諸如電感耦合法、ECR(電子迴旋加速器共振)法、或電容耦合法)之產生器。
在替代性具體表現(未圖示)中,在不存在額外氧化劑之情況下藉由將諸如NO或N2O之氮-氧氣體饋入在產生器430之電暈放電來產生NxOy物種。可將額外N2與氮-氧氣體一起提供至產生器430。在又一具體表現中,將化學計量的N2+O2提供至產生器430來產生NxOy*(例如,NO自由基)。
感測器450可用於監控氧化劑及由產生器430產生的NxOy物種之量、組成及/或濃度。感測器450可包括任何合適硬體、機構或軟體以偵測所需NxOy自由基或離子物種及/或氧化劑之存在,且在各種具體表現中該感測器450可包含包括傅立葉轉換紅外光譜分析儀之感測器、UV吸收感測器、密度感測器、傳導率/電容率感測器、化學發光感測器或氣體層析感測器。感測器450可進一步連接至NxOy物種產生器控制460,其經由各種使用者或自動化輸入470配置產生器430、氧化劑源410、氮源430及可選載體氣體源(未圖示)以在輸出流240A中產生所需組成及體積之NxOy物種及其他氣體。在一些具體表現中,此類其他氣體可包含諸如所需比率之O2/O3或其他氣體的氧化劑。舉例而言(但並非限制),產生器控制460可調制至產生器430之功率輸入(未圖示)以改變在氣態輸出流240A中各類型活化離子或自由基N-O化合物之組成。由於感測器450連接至產生器430及/或其輸出流240A,且藉由控制460經配置以接收來自感測器450之指示輸出流240A之組成及體積的改變的訊號,可藉由軟體及/或電子硬體實施閉迴路控制以操作電氣控制或氣動控制閥,從而除了控制輸入至產生器430之功率及/或頻率以外亦控制氮源氣體、氧化劑源氣體、載體氣體或其他氣體之流動以達成包含NxOy物種的所需輸出氣體組成。
圖2亦圖示系統操作及控制機構260,該機構260提供電子電路及機械構件以選擇性地操作閥、歧管、泵及系統200中包含之其他設備。此類電路及構件操作以將前驅物、沖洗氣體、氧化劑/NxOy物種自各別的前驅物源220、沖洗氣體源230及氧化劑/NxOy源引入反應室210。系統操作及控制機構260亦控制氣體脈衝順序之定時、基板及反應室之溫度及反應室壓力及對於提供系統200之正常操作所必要的各種其他操作。操作及控制機構260可包含控制軟體及電氣或氣動控制閥來控制流入及流出反應室210之前驅物、反應物、氧化劑、NxOy物種及沖洗氣體的流動。在一個特別適於ALD反應器之具體表現中,(諸如)藉由在反應空間內表面上形成保護層,操作及控制機構260亦控制流入反應室210之處理氣體的流動以使該表面對ALD反應鈍化。在使表面鈍化之後,控制系統將諸如矽晶圓之基板加載至室210中,且使前驅物、氧化劑、NxOy物種及/或沖洗氣體流入室210以在基板上形成沉積物。控制系統可包含執行某些任務的諸如軟體或硬體構件(例如,FPGA或ASIC)之模組。模組可有利地經配置以常駐於控制系統之可定址儲存媒體上,且經配置以執行一或多個製程。
熟習相關技術者瞭解,可能存在包含不同數量及種類之前驅物反應物源、沖洗氣體源及/或氧化劑/NxOy源之本發明系統的其他配置。此外,此類技術者亦將瞭解,閥、管道、前驅物源、沖洗氣體源、載體氣體源及/或氧化劑源存在許多佈置,該等佈置可用於實現選擇性地將氣體饋入反應器反應室210中之目的。此外,作為薄膜處理系統之示意性表示,為簡化說明而省略了許多構件,且此類構件可包含(例如)各種閥、歧管、純化器、加熱器、容器、通氣孔及/或旁路。
圖3A展示處理系統200之替代性示意性具體實例,其中氧化劑/反應物源340連接340A至反應室210,且與亦連接360A至反應室之NxOy物種源360分離。經由此配置,系統操作及控制260可獨立於向反應室210引入含NxOy物種氣體,而自氧化劑/反應物源340引入氧化劑或其他反應物。經由此配置,可將氧化劑、含NxOy物種氣體或該兩者之組合之獨立氣體脈衝應用於反應室,以達成特定的層沉積效果。在一個示例性具體實例中,可應用氧化劑及含NxOy物種氣體之交替脈衝以在反應室210內之基板上沉積的金屬氧化物薄膜上獲得增強的生長率或均勻度。
圖3B展示處理系統200之又一示意性具體實例,其中氧化劑/反應物源340連接340A至反應室210,且與整合於反應室210內之NxOy物種源390分離。並未圖示管道及連接,該等管道及連接將諸如含氧或氮之氣體之各種源饋入氣體供應至NxOy物種源390,或供應至其輸出連接,該輸出連接將含NxOy物種氣體供應至位於反應室210內之基板。類似於結合圖3A圖示的系統200之圖示,該系統操作及控制260可獨立於向反應室210引入含NxOy物種氣體,而自氧化劑/反應物源340引入氧化劑或其他反應物。亦經由此配置,可將氧化劑、含NxOy物種氣體或該兩者之組合之獨立氣體脈衝應用於反應室以達成特定的層沉積效果。在一個示例性具體實例中,可應用氧化劑及含NxOy物種氣體之交替脈衝,以在反應室210內之基板上沉積的金屬氧化物薄膜上獲得增強的生長率或均勻度
圖6圖示單個金屬氧化物(MOS)電晶體600,其由本發明之方法之具體表現製造以形成含有ALD-沉積的閘極絕緣體層之介電層620。使用經由本發明之方法及系統沉積的諸如HfO2、ZrO2、La2O3及Ta2O5、HfLaO及HfZrO之類的高-k介電質提供愈來愈小之電晶體的製造,該等電晶體與傳統的氧化矽類型介電質相比具有改良的漏洩電流及其他特徵。製備基板605用於沉積,通常用於沉積矽或含矽的材料。然而,如上關於基板類型之描述,亦可使用諸如鍺基板、砷化鎵基板及矽-藍寶石基板之類其他半導電材料。在沉積閘極介電質620之前,形成電晶體之基板605內之各種層,且製備基板之各種區域,諸如電晶體600之汲極擴散610及源極擴散615。通常清潔基板605以提供耗盡其原始氧化物之初始基板。亦可清潔基板以提供氫端面來改良化學吸附率。正在處理的電晶體之區域的形成排序可遵循在MOS電晶體之製造中通常執行的典型排序,如熟習該項技術者所知一般。
在各種具體表現中,覆蓋基板605上源極擴散區域615與汲極擴散區域610之間區域的介電質620係藉由根據本發明之圖1所述的ALD方法來沉積,且包括經由至少部分暴露於含NxOy物種氣體所沉積的呈分子比例之金屬氧化物層。圖示之單個介電層620僅為一個具體表現,且其在其他具體表現中亦可包含根據本發明之具體表現沉積之額外的薄膜金屬氧化物層或其他合適介電質層或障壁材料層。
電晶體600具有形成閘極介電質620上之單個閘極電極625的導電材料。通常,形成閘極625可包含形成多晶矽層,儘管可以替代性方法形成金屬閘極。製造基板605、源極區域615及汲極區域610及閘極625係藉由使用熟習該項技術者所知的標準方法或由本發明之具體表現增強的方法來執行。另外,用於形成電晶體之方法的各種要素之排序係用標準製造方法來進行,亦如熟習該項技術者所知一般。
在圖示之具體表現中,介電層620經圖示為第一層,且直接與基板605接觸;然而,本發明並不限於此。在各種具體表現中,可將擴散障壁層插入介電層620與基板605之間以防止金屬污染影響裝置之電氣特性。雖然圖6圖示之電晶體600具有形成單個閘極電極625之導電材料,但該閘極介電質亦可用於浮動閘極裝置,諸如圖7圖示之快閃記憶體。
圖7圖示根據本發明之一個具體表現製造的單個記憶體單元700。在此具體表現中,記憶體單元700為適合用於FLASH記憶體裝置或其他記憶體裝置之浮動閘極記憶體單元。類似於圖6圖示之電晶體600,記憶體單元700包含基板705(通常為矽,但可為如本文所述之其他基板),在該基板705中形成了源極區域715及汲極區域710。通常,記憶體單元700亦包含第一介電層720(其可稱為穿隧層)、儲存元件或浮動閘極725(由諸如多晶矽之導電材料形成)、第二介電層725及控制閘極735(亦由諸如多晶矽之導電材料形成)。
類似於結合圖6描述之電晶體600,用本發明之方法之具體表現來製造記憶體單元700以形成介電層720或介電層730或形成其兩者。藉由使用由根據本發明之方法形成的ALD-沉積金屬氧化物閘極絕緣體層,可整體或部分地製造介電層720、介電層730。製備基板705用於沉積,通常用於沉積矽或含矽的材料。然而,如上關於基板類型之描述,亦可使用諸如鍺基板、砷化鎵基板及矽-藍寶石基板之類其他半導電材料。在沉積介電質720之前,形成電晶體之基板705內之各種層,且製備基板之各種區域,諸如記憶體單元700之汲極擴散710及源極擴散715。通常清潔基板基板705以提供耗盡其原始氧化物之初始基板。亦可清潔基板以提供氫端面來改良化學吸附率。正在處理的電晶體之區域的形成排序可遵循在MOS電晶體之製造中通常執行的典型排序,如熟習該項技術者所知一般。
在各種具體表現中,覆蓋基板705上源極擴散區域715與汲極擴散區域710之間區域的介電質720係藉由根據本發明之圖1所述的ALD方法來沉積,且包括經由至少部分暴露於含NxOy物種氣體所沉積的金屬氧化物層。在其他具體表現中,圖示之介電層720、介電層730亦可包含額外的金屬氧化物層或其他合適介電質層或障壁材料層。
記憶體單元700具有在介電質720上之區域中形成控制閘極電極735及浮動閘極725的導電材料。通常,形成閘極725、閘極735可包含形成多晶矽層,儘管替代性方法可形成金屬閘極。製造基板705、源極區域715及汲極區域710及閘極725、閘極735之方法係藉由使用熟習該項技術者所知的標準方法來執行。另外,用於形成記憶體單元之方法的各種要素之排序係用標準製造方法來進行,其亦如熟習該項技術者所知一般。
在圖示之具體表現中,介電層720、介電層730經圖示為直接接觸基板705、浮動閘極725及控制閘極735。在其他具體表現中,可將擴散障壁層插入介電層720、介電層730及/或基板705、浮動閘極725及控制閘極735之間以防止金屬污染影響記憶體單元700之電氣特性。
用於形成根據本發明之金屬氧化物介電層之方法的具體表現亦可應用於用以製造各種積體電路、記憶體裝置及電子系統中之電容器的方法。在用於製造電容器之具體表現中,方法包含形成第一導電層,藉由本文所述之ALD循環的具體表現在第一導電層上形成含有金屬氧化物層之介電層,及在介電層上形成第二導電層。金屬氧化物介電層之ALD形成允許在提供所需介電常數及/或其他可控制特徵之預定組成物內設計介電層。
可將具有由本文所述之本發明之具體表現製造的介電層的諸如電晶體、電容器及其他裝置之類電子構件實施於記憶體裝置、處理器及電子系統中。大體而言,如圖8所圖示,此類電子構件810可併入諸如資訊處理裝置之系統820。此類資訊處理裝置可包含無線系統、電信系統、諸如蜂巢式電話及智能電話之行動用戶單元、個人數位助理(PDAs)及電腦。圖9圖示了具有使用本文所述方法由原子層沉積形成的介電層(諸如HfLaO介電層)之電腦的具體表現,且下文有所描述。雖然下文展示了特定類型之記憶體裝置及計算裝置,但熟習該項技術者應瞭解,包含資訊處理裝置之若干類型的記憶體裝置及電子系統利用本主題。
如圖9所圖示之個人電腦900可包含之輸出裝置(諸如螢幕或監視器)910、鍵盤輸入裝置905及中央處理單元920。中央處理單元920通常可包含利用處理器935之電路925及將一或多個記憶體裝置940連接至處理器935之記憶體匯流排電路937。個人電腦900之處理器935及/或記憶體940亦包含至少一個電晶體或記憶體單元,該至少一個電晶體或記憶體單元具有使用根據本主題之具體表現的本文所述方法由原子層沉積形成的介電層。熟習該項技術者瞭解,電腦900中之其他電子構件可利用使用本文所述方法由原子層沉積形成的介電層,諸如經由至少部分暴露於含NxOy物種氣體而形成的介電層。此類構件可包含許多類型之積體電路,其包含處理器晶片組、視訊控制器、記憶體控制器、I/O處置器、BIOS記憶體、FLASH記憶體、音訊及視訊處理晶片等。熟習該項技術者亦瞭解,其他資訊處理裝置(諸如個人數位助理(PDAs))及行動通訊裝置(諸如蜂巢式電話及智慧電話)可併有藉由使用本發明之具體表現而形成的介電層。
雖然已描述了本發明之較佳具體表現,但應理解,本發明並不限於此,且可在不脫離本發明之情況下進行修改。本發明之範疇由所附申請專利範圍限定,且在文字上或以等效方式來自申請專利範圍含義內之所有裝置、製程及方法皆意欲被涵蓋於此。
100‧‧‧方法
105‧‧‧步驟
110‧‧‧步驟
120‧‧‧步驟
130‧‧‧步驟
140‧‧‧步驟
150‧‧‧步驟
155‧‧‧步驟
160‧‧‧步驟
200‧‧‧薄膜處理系統
210‧‧‧反應室
220‧‧‧前驅物反應物源
220A‧‧‧機構/管道
230‧‧‧沖洗氣體源
230A
240‧‧‧氧化劑/NxOy物種源
240A‧‧‧輸出流
260‧‧‧控制機構
340‧‧‧氧化劑/反應物源
340A‧‧‧連接關係
360‧‧‧NxOy物種源
360A‧‧‧連接關係
390‧‧‧NxOy物種源
410‧‧‧氧化劑源
420‧‧‧連接關係
430‧‧‧產生器
440‧‧‧連接關係
450‧‧‧感測器
460‧‧‧NxOy物種產生器控制
470‧‧‧輸入
500‧‧‧DBD臭氧產生器單元
505‧‧‧間隙
510A‧‧‧電極
510B‧‧‧電極
520A‧‧‧介電材料
520B‧‧‧介電材料
530‧‧‧乾燥饋入氣體氧
550‧‧‧臭氧
560‧‧‧AC電壓源
600‧‧‧MOS電晶體
605‧‧‧基板
610‧‧‧汲極擴散
615‧‧‧源極擴散
620‧‧‧閘極介電層
625‧‧‧閘極電極
700‧‧‧記憶體單元
705‧‧‧基板
710‧‧‧汲極區域
715‧‧‧源極區域
720‧‧‧第一介電層
725‧‧‧儲存元件或浮動閘極
730‧‧‧第二介電層
735‧‧‧控制閘極
800
810‧‧‧電子構件
820‧‧‧系統
900‧‧‧個人電腦
905‧‧‧鍵盤輸入裝置
910‧‧‧輸出裝置
920‧‧‧中央處理單元
925‧‧‧電路
935‧‧‧處理器
937‧‧‧記憶體匯流排電路
940‧‧‧記憶體裝置
圖1圖示本發明之具體表現的方法流程。
圖2展示本發明之薄膜處理系統之圖解說明。
圖3A展示具有分離的氧化劑及NxOy物種源之本發明之薄膜處理系統的圖解說明。
圖3B展示在反應室內具有NxOy物種源之本發明之薄膜處理系統的圖解說明。
圖4圖示本發明之氧化劑/NxOy物種源之一個具體表現。
圖5圖示先前技術之簡化DBD臭氧產生器單元。
圖6圖示具有由與本發明一致之方法形成之介電層的金屬氧化物電晶體。
圖7展示具有由與本發明一致之方法形成之至少一個介電層的記憶體單元。
圖8圖示併有電子構件之通用系統,該電子構件包含由與本發明一致之方法形成之介電層。
圖9展示諸如電腦之資訊處理裝置,該裝置併有包含由與本發明一致之方法形成之介電層的電子構件。
圖10展示圖示出在臭氧產生器中在各種濃度之氮饋入氣體下HfO2層生長率的圖表。
圖11展示圖示出當氮饋入氣體濃度正在變化時沉積的氧化鉿之另一試驗量測厚度及均勻度之圖表,且表示圖12之最左邊部份。
圖12展示圖示出當氮饋入氣體濃度正在變化時沉積的氧化鉿之試驗量測厚度及均勻度之圖表。
圖13展示圖示出當氮饋入氣體流量正在變化時沉積的氧化鉿之試驗量測厚度和均勻度之圖表。
圖14圖示展示出當供應至臭氧產生器的氮饋入氣體量增加時沉積的氧化鑭薄膜之厚度及均勻度之改良的圖表。
圖15展示圖示出在各種濃度之氮饋入氣體下在具有比較性氮/氧比之臭氧產生器中的HfO2層生長率之圖表。
圖16圖示在具有N2:O2饋入氣體之O3輸送單元的出口處對N2O5及N2O進行的偵測。
100...方法
105...步驟
110...步驟
120...步驟
130...步驟
140...步驟
150...步驟
155...步驟
160...步驟

Claims (23)

  1. 一種用於在反應室內之基板上沉積薄膜之方法,該方法包括以下步驟:將原子層沉積循環應用於該基板,該循環包括以下步驟:將該基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;形成活性NxOy物種;將該活性NxOy物種引入反應室中;將該基板暴露於包括氧化劑氣體及該活性NxOy物種之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑;及在將該活性NxOy物種引入該反應室中之前,使用感測器以監控該活性NxOy物種且根據該監控調整一或多種製程參數。
  2. 如申請專利範圍第1項之方法,其中該前驅氣體包括選自由Sc、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、Yb、Lu及其組合組成之群組的稀土金屬。
  3. 如申請專利範圍第1項之方法,其中該前驅氣體包括有機金屬化合物及金屬鹵化物化合物中之至少一種化合物。
  4. 如申請專利範圍第1項之方法,其中該前驅氣體包括以下之至少一者:四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5); 五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含(La(THD)3)及(Y(THD)3);稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含三甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含:肆-乙基-甲胺基鉿(TEMAHf);肆(二乙胺基)鉿((Et2N)4Hf或TDEAH);及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物;四氯化矽;四氟化矽;及四碘化矽。
  5. 如申請專利範圍第1項之方法,其中該氧化劑氣體包含含氮物種氣體。
  6. 如申請專利範圍第1項之方法,其中該活性NxOy物種包含活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者。
  7. 如申請專利範圍第1項之方法,其中該氧化劑氣體包括臭氧及選自由O、O2、NO、N2O、NO2、NO3、N2O5、NxOy自由基物種、NxOy離子物種及其組合組成之群組的一或多種氣體。
  8. 如申請專利範圍第7項之方法,其中該氧化劑氣體包括約5原子百分比至25原子百分比的O3
  9. 如申請專利範圍第7項之方法,其中O3係由O2及氮源氣體製得,其中使該O2及氮源氣體之混合物經受電漿放電。
  10. 如申請專利範圍第9項之方法,其中該氮源氣體為N2、NO、N2O、NO2、NO3及N2O5中之至少一者。
  11. 如申請專利範圍第1項之方法,其中該NxOy物種包含激發的NxOy自由基物種、激發的NxOy離子物種及其組合。
  12. 如申請專利範圍第1項之方法,其中氧化劑氣體包括O、O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy、其自由基及O3中之兩者或兩者以上之混合物,且其中該混合物包括約5原子百分比至25原子百分比的O3
  13. 如申請專利範圍第10項之方法,其中N2/O2流量比>0.001。
  14. 如申請專利範圍第10項之方法,其中該O2及該氮源氣體之比率決定以下之至少一者:含氮物種氣體之量,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;含氮物種氣體之濃度,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者; 該沉積薄膜之生長率;橫跨該基板之薄膜均勻度;該沉積薄膜之介電常數;該沉積薄膜之折射率;及該沉積薄膜之分子組成。
  15. 如申請專利範圍第10項之方法,其中功率輸入控制該電漿,且輸送至該電漿之功率量決定以下之至少一者:含氮物種氣體之量,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;含氮物種氣體之濃度,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;該沉積薄膜之生長率;橫跨該基板之薄膜均勻度;該沉積薄膜之介電常數;該沉積薄膜之折射率;及該沉積薄膜之分子組成。
  16. 如申請專利範圍第10項之方法,進一步包括以下步驟:藉由將O2及氮源氣體暴露於電漿放電來產生該氧化劑;監控由該電漿放電產生的O3及激發NxOy物種之比率;及 調整至該電漿放電之功率輸入、外殼之溫度、該O2之流量及該氮源氣體之流量中至少一者來達成預定標準。
  17. 如申請專利範圍第16項之方法,其中該預定標準包含以下之至少一者:氧化劑流量;氧化劑/NxOy濃度比;活性NxOy物種濃度;活性NxOy物種之比率,其中該激發NxOy物種氣體含有複數種激發氮-氧化合物;及特定活性氮-氧化合物之濃度。
  18. 如申請專利範圍第1項之方法,進一步包括以下步驟:將該基板暴露於第二前驅氣體歷時第二前驅物脈衝間隔,然後移除該第二前驅氣體;及在移除該第二前驅氣體之後,將該基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。
  19. 一種使用金屬鹵化物前驅物及包括臭氧及激發氮-氧物種之氧化劑來沉積金屬氧化物薄膜之方法;其中使用遠距電漿來形成該臭氧及該激發氮-氧物種,其中在將該激發氮-氧物種引入該反應室中之前,使用感測器以監控該激發氮-氧物種且根據該受監控的激發氮-氧物種調整一或多種製程參數,且其中由於該激發氮-氧物種,沉積率和沉積均勻度增加。
  20. 如申請專利範圍第19項之方法,其中該金屬氧化物包括Al2O3、HfO2、ZrO2、La2O3及Ta2O5中至少一者。
  21. 如申請專利範圍第19項之方法,其中該金屬鹵化物包括與任何鹵元素呈化合物組合之任何金屬。
  22. 一種用於在基板上沉積薄膜之方法,其包括藉由在將活性氮-氧物種引入反應室中之前,使用感測器以監控該活性氮-氧物種且根據該監控來調整提供至該反應室的該活性氮-氧物種之量來控制該沉積薄膜之沉積均勻度。
  23. 一種用於在反應室內之基板上沉積薄膜之系統,其包括:反應室;連接至該反應器室之前驅物反應物源;連接至該反應器室之沖洗氣體源;連接至該反應器室之氧化劑源;連接至該反應器室之活化含氮物種源;系統操作及控制機構係經配置以使該系統將原子層沉積循環應用於基板,該循環包含:將該基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;及將該基板暴露於包括氧化劑氣體及活化含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑;及連接至該活化含氮物種源之感測器,其中該感測器監控該活化含氮物種的量、組成、及濃度之一或多者。
TW099127063A 2009-08-14 2010-08-13 使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法 TWI540221B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23401709P 2009-08-14 2009-08-14
US33260010P 2010-05-07 2010-05-07
US12/854,818 US8883270B2 (en) 2009-08-14 2010-08-11 Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species

Publications (2)

Publication Number Publication Date
TW201126009A TW201126009A (en) 2011-08-01
TWI540221B true TWI540221B (zh) 2016-07-01

Family

ID=43383392

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099127063A TWI540221B (zh) 2009-08-14 2010-08-13 使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法

Country Status (5)

Country Link
US (1) US8883270B2 (zh)
KR (2) KR102042281B1 (zh)
CN (1) CN102625861B (zh)
TW (1) TWI540221B (zh)
WO (1) WO2011019950A1 (zh)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI551708B (zh) 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
US8691985B2 (en) * 2011-07-22 2014-04-08 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
JP5694543B2 (ja) * 2011-09-08 2015-04-01 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) * 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
KR101727259B1 (ko) 2015-03-18 2017-04-17 연세대학교 산학협력단 산화물 박막 형성 방법 및 산화물 박막 형성 장치
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170073812A1 (en) * 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10170700B2 (en) * 2016-02-19 2019-01-01 Arm Ltd. Fabrication of correlated electron material devices method to control carbon
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6568127B2 (ja) * 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US648989A (en) * 1898-11-14 1900-05-08 La Verne W Noyes Water-supply regulator for windmills.
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) * 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6429146B2 (en) 1999-09-02 2002-08-06 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
KR100886997B1 (ko) * 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 피처리체의 처리방법 및 처리장치
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
EP1460678A4 (en) 2001-07-31 2010-01-06 Air Liquide CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
DE60203413T2 (de) 2001-10-26 2006-04-20 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur abscheidung von atomaren schichten
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
EP1540705A4 (en) 2002-07-19 2009-12-16 Entegris Inc LIQUID FLOW CONTROL AND PRECISION DELIVERY DEVICE AND SYSTEM
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
EP1525337A2 (en) 2002-07-30 2005-04-27 ASM America, Inc. Sublimation system employing carrier gas
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
JP2005538564A (ja) 2002-09-10 2005-12-15 エフエスアイ インターナショナル インコーポレイテッド 加熱蓋体を備えた熱処理ステーション
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
WO2004070816A1 (ja) 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
WO2005029566A1 (ja) 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US20070054405A1 (en) * 2003-10-23 2007-03-08 Ortho-Clinical Diagnostics, Inc. Patient sample classification based upon low angle light scattering
WO2005042160A2 (en) 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
CN1997770A (zh) 2004-07-30 2007-07-11 Lpe公司 具有控制定位的衬托器的外延反应器
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101645394A (zh) 2004-10-19 2010-02-10 佳能安内华股份有限公司 基板支撑、运送用托盘
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TWI536429B (zh) 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
EP1953794B1 (en) 2004-11-24 2012-02-01 Oerlikon Solar AG, Trübbach Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4694878B2 (ja) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
WO2009129391A2 (en) 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100025796A1 (en) 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN105895517A (zh) 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
KR101691044B1 (ko) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
KR20120073201A (ko) 2012-07-04
KR20170100070A (ko) 2017-09-01
WO2011019950A1 (en) 2011-02-17
TW201126009A (en) 2011-08-01
CN102625861A (zh) 2012-08-01
KR102042281B1 (ko) 2019-11-07
CN102625861B (zh) 2014-12-10
US8883270B2 (en) 2014-11-11
US20110070380A1 (en) 2011-03-24

Similar Documents

Publication Publication Date Title
TWI540221B (zh) 使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
US20140127422A1 (en) Method and Apparatus for High-K Gate Performance Improvement and Combinatorial Processing
TWI497582B (zh) 用於含碳膜的矽選擇性乾式蝕刻方法
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US20060032443A1 (en) Film formation method and apparatus for semiconductor process
TW201921426A (zh) 用以改善使用電漿及/或熱處理之二氧化鉿基鐵電材料中的效能之方法
US20060207504A1 (en) Film formation method and apparatus for semiconductor process
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US8168270B2 (en) Film formation method and apparatus for semiconductor process
TW200832551A (en) Film formation method and apparatus for semiconductor process
KR20140022454A (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US9224594B2 (en) Surface preparation with remote plasma
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
JPH05217922A (ja) プラズマによる気相からの層析出方法
TWI621218B (zh) 包含鍺之半導體元件及其形成方法