TWI540221B - Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species - Google Patents

Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species Download PDF

Info

Publication number
TWI540221B
TWI540221B TW099127063A TW99127063A TWI540221B TW I540221 B TWI540221 B TW I540221B TW 099127063 A TW099127063 A TW 099127063A TW 99127063 A TW99127063 A TW 99127063A TW I540221 B TWI540221 B TW I540221B
Authority
TW
Taiwan
Prior art keywords
species
gas
nitrogen
oxidant
substrate
Prior art date
Application number
TW099127063A
Other languages
Chinese (zh)
Other versions
TW201126009A (en
Inventor
艾瑞克 席羅
派提I 瑞薩能
鄭相鎬
王強剛
Original Assignee
Asm美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm美國公司 filed Critical Asm美國公司
Publication of TW201126009A publication Critical patent/TW201126009A/en
Application granted granted Critical
Publication of TWI540221B publication Critical patent/TWI540221B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/60Feed streams for electrical dischargers
    • C01B2201/64Oxygen

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

使用激發氮-氧物種之金屬氧化物薄膜沉積之系統及方法System and method for depositing metal oxide thin films using excited nitrogen-oxygen species 相關申請案之交叉引用Cross-reference to related applications

本申請案係關於並且主張2009年8月14日提出申請且標題為「SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES」之臨時專利申請案第61/234,017號及2010年5月7日提出申請且標題為「SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES」之臨時專利申請案第61/332,600號之優先權,該等申請案皆以引用方式併入本文。This application is related to and claims the provisional application No. 61/234,017 and 2010, filed on August 14, 2009, entitled "SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES" The priority of Provisional Patent Application No. 61/332,600, entitled "SYSTEMS AND METHODS FOR THIN-FILM DEPOSITION OF METAL OXIDES USING EXCITED NITROGEN-OXYGEN SPECIES", filed on May 7, the disclosure of which is incorporated by reference. Incorporated herein.

發明敘述Invention narrative

本發明係關於薄膜沉積,更特定而言係關於藉由使用臭氧及激發氮-氧物種之原子層沉積使用前驅物來沉積金屬氧化物之系統及方法。This invention relates to thin film deposition, and more particularly to systems and methods for depositing metal oxides using precursors by atomic layer deposition using ozone and excited nitrogen-oxygen species.

許多年來,已在用於諸如電晶體閘極介電質及電容器介電質之構件的半導體基板中使用二氧化矽(SiO2)。然而,隨著電路構件尺寸減小,SiO2之電氣性能特徵導致諸如漏洩電流增加之類不良效果。當使用諸如SiO2之類老一代介電質來製造較新的積體電路幾何形狀時,挑戰在於控制漏洩電流以維持高速度低功率性能。Cerium oxide (SiO 2 ) has been used in semiconductor substrates for components such as transistor gate dielectrics and capacitor dielectrics for many years. However, as the size of the circuit member is reduced, the electrical performance characteristics of SiO 2 cause undesirable effects such as an increase in leakage current. When using SiO 2 or the like, such as a dielectric older generation manufactured newer integrated circuit geometries, the challenge is to control the leakage current to maintain a high speed low power performance.

較新的方法,尤其該等使用小於65 nm之製造幾何形狀之方法已開始在半導體製造中包含高介電常數(「高-k」)絕緣體。尤其對45 nm及更小的製程幾何形狀而言,一些晶片製造商現依賴於高-k介電質。對於達成更小的裝置幾何形狀並同時控制洩漏及其他電氣性能標準而言,重要之處在於用高-k介電質替代SiO2閘極介電質。Newer methods, especially those that use manufacturing geometries of less than 65 nm, have begun to include high dielectric constant ("high-k") insulators in semiconductor fabrication. Especially for process geometries of 45 nm and smaller, some wafer manufacturers now rely on high-k dielectrics. For achieving smaller device geometries while controlling leakage and other electrical performance criteria, it is important to replace the SiO 2 gate dielectric with a high-k dielectric.

雖然高-k介電質之使用允許諸如電晶體閘極介電質之類積體電路構件的尺度更小,但在其製造中存在挑戰。雖然已知諸如氧化鋯、氧化鈦、氧化鉿、氧化鉭、氧化鋁、氧化釔及氧化鑭之類某些金屬氧化物及稀土氧化物在作為薄膜沉積時可提供所需特徵,但在製造方法中仍存在挑戰,諸如在製程化學、延長的沉積循環時間及較小的所需沉積均勻度之間的不相容性。While the use of high-k dielectrics allows for a smaller scale of integrated circuit components such as transistor gate dielectrics, there are challenges in their manufacture. Although certain metal oxides and rare earth oxides such as zirconia, titania, cerium oxide, cerium oxide, aluminum oxide, cerium oxide, and cerium oxide are known to provide desirable characteristics when deposited as a thin film, in a method of fabrication There are still challenges, such as incompatibility between process chemistry, extended deposition cycle times, and lesser required deposition uniformity.

存在各種各樣用於在諸如半導體之基板上提供薄膜之方法及相關設備。一些方法藉由利用半導體上之表面反應來在基板上形成薄膜,諸如真空蒸發沉積法、分子束磊晶法、化學蒸氣沉積(CVD)之不同變體(包含低壓CVD、有機金屬CVD及電漿增強CVD)及原子層磊晶法(ALE)。ALE亦稱為原子層沉積(ALD)。There are various methods and related devices for providing a film on a substrate such as a semiconductor. Some methods form thin films on substrates by utilizing surface reactions on semiconductors, such as vacuum evaporation deposition, molecular beam epitaxy, chemical vapor deposition (CVD), different variants (including low pressure CVD, organometallic CVD, and plasma). Enhanced CVD) and atomic layer epitaxy (ALE). ALE is also known as atomic layer deposition (ALD).

ALD為經由按順序引入各種前驅物物種在基板表面上沉積薄膜之方法。習知的ALD設備可包含反應室(包含反應器及基板座)、氣體流動系統(包含用於向基板表面提供前驅物及反應物之氣體入口及用於移除所用氣體之排氣系統)。生長機構依賴於在基板活性位點上吸附前驅物,且較佳地將條件維持以使得在基板上僅有單層形成,從而自行終止該製程。將基板暴露於第一前驅物之後,通常為沖洗階段或其他移除過程(例如,抽空或「抽取」),其中自反應室中移除任何過量的第一前驅物及任何反應副產物。然後,將第二反應物或前驅物引入反應室,此時該第二反應物或前驅物與第一前驅物反應,且此反應在基板上產生所需薄膜。當在基板上吸附的所有可用第一前驅物物種已與第二前驅物反應時,反應終止。然後,執行第二沖洗或其他移除階段,從而除去反應室中任何剩餘第二前驅物及可能的反應副產物。可重複此循環以使薄膜生長至所需厚度。ALD is a method of depositing a thin film on a substrate surface by introducing various precursor species in sequence. Conventional ALD devices can include a reaction chamber (comprising a reactor and a substrate holder), a gas flow system (including a gas inlet for providing precursors and reactants to the surface of the substrate, and an exhaust system for removing the gas used). The growth mechanism relies on adsorbing the precursor on the active site of the substrate, and preferably maintains the condition such that only a single layer is formed on the substrate, thereby self-terminating the process. After exposing the substrate to the first precursor, typically a rinse phase or other removal process (eg, evacuation or "extraction"), wherein any excess first precursor and any reaction byproducts are removed from the reaction chamber. The second reactant or precursor is then introduced into the reaction chamber where the second reactant or precursor reacts with the first precursor and the reaction produces the desired film on the substrate. The reaction is terminated when all of the available first precursor species adsorbed on the substrate have reacted with the second precursor. A second rinse or other removal stage is then performed to remove any remaining second precursors and possible reaction by-products from the reaction chamber. This cycle can be repeated to grow the film to the desired thickness.

ALD較其他沉積方法而言之一個已知優點在於,只要溫度在ALD窗口(其高於反應物之凝結溫度且低於反應物之其熱分解溫度)內且在各脈衝中提供足夠反應物以使表面飽和,則其自飽和且均勻。因此,為獲得均勻沉積,並不需要溫度及氣體供應完全均勻。A known advantage of ALD over other deposition methods is that as long as the temperature is within the ALD window (which is above the condensation temperature of the reactants and below the thermal decomposition temperature of the reactants) and provides sufficient reactants in each pulse Saturating the surface is self-saturating and uniform. Therefore, in order to obtain uniform deposition, it is not necessary to have a uniform temperature and gas supply.

ALD在芬蘭專利公開案52,359及57,975及美國專利第4,058,430號及第4,389,973號中有進一步描述。用於實施該等方法之設備揭示於美國專利第5,855,680號、第6,511,539號及第6,820,570號、芬蘭專利第100,409號、1989年之Material Science Report 4(7)第261頁及Tyhjiotekniikka(對於真空技術之芬蘭公告),ISBN 951-794-422-5,第253頁-第261頁。ALD is further described in the Finnish Patent Publication Nos. 52,359 and 57,975, and U.S. Patent Nos. 4,058,430 and 4,389,973. The apparatus for carrying out such methods is disclosed in U.S. Patent Nos. 5,855,680, 6,511,539 and 6,820,570, Finnish Patent No. 100,409, 1989, Material Science Report 4 (7), page 261, and Tyhjiotekniikka (for vacuum technology). Finnish Announcement), ISBN 951-794-422-5, pp. 253-page 261.

已採用ALD沉積不同薄膜材料。用於ALD之已知材料包含諸如Al2O3、HfO2、ZrO2、La2O3及Ta2O5之二元氧化物。各種三元氧化物亦為用於ALD之熟知材料,且包含HfZrO、HfAlO及HfLaO。如先前所述,對用於高-k介電質應用之合適材料的選擇需要考慮沉積物質對特定基板及電路環境之影響,及需要考慮製程化學。在HfLaO之ALD狀況下,已知Hf-前驅物為HfCl4且已知La-前驅物為La(THD)3。由於La2O3之吸濕性質,在先前技術方法中通常使用臭氧(O3)而非H2O來作為氧化劑,但遺憾地,HfCl4/O3方法及La(THD)/O3方法皆對臭氧中存在之即使很小的改變高度敏感。在一些例子中,臭氧之使用亦導致小於沉積氧化物薄膜之所需均勻度。此外,當需要單氧化劑(諸如臭氧)可以無論用於沉積方法之金屬前驅物之類型如何皆獲得有效且一致的沉積結果之方式來使用時,控制兩種不同的氧化化學作用使得沉積方法複雜化。Different film materials have been deposited using ALD. Known materials for ALD include binary oxides such as Al 2 O 3 , HfO 2 , ZrO 2 , La 2 O 3 and Ta 2 O 5 . Various ternary oxides are also well known materials for ALD and include HfZrO, HfAlO, and HfLaO. As previously stated, the selection of suitable materials for high-k dielectric applications requires consideration of the effects of the deposited materials on the particular substrate and circuit environment, as well as the need to consider process chemistry. In the ALD condition of HfLaO, the Hf-precursor is known as HfCl 4 and the La-precursor is known as La(THD) 3 . Since the hygroscopic properties of the La 2 O 3, in the prior art methods typically using ozone (O 3) instead of H 2 O as the oxidizing agent, but regret, HfCl 4 / O 3 Method and La (THD) / O 3 Method Both are highly sensitive to even small changes in the presence of ozone. In some instances, the use of ozone also results in less than the desired uniformity of the deposited oxide film. In addition, when a single oxidant (such as ozone) is required to be used in a manner that achieves effective and consistent deposition results regardless of the type of metal precursor used in the deposition process, controlling two different oxidative chemistries complicates the deposition process .

可使用電漿放電來激發氣體,以產生含有離子、自由基、原子及分子之活化氣體。活化氣體用於許多工業應用及科學應用,包含處理諸如半導體晶圓、粉末之固態材料及其他氣體。電漿參數及將電漿暴露於正在處理之材料之條件視應用而廣泛變化。A plasma discharge can be used to excite the gas to produce an activating gas containing ions, free radicals, atoms, and molecules. Activated gases are used in many industrial and scientific applications, including processing solid materials such as semiconductor wafers, powders, and other gases. The plasma parameters and the conditions under which the plasma is exposed to the material being processed vary widely depending on the application.

電漿可以各種方式產生,包含電流放電、射頻(RF)放電及微波放電。電流放電係藉由在氣體中在兩個電極之間施加電位來達成。RF放電係藉由將來自電源之能量靜電地或感應地耦合至電漿中來達成。通常使用平行板來將能量靜電耦合至電漿中。感應線圈通常用於將電流感應至電漿中。微波放電係藉由將微波能量經由微波通行視窗直接耦合至含有氣體之放電室中來達成。微波放電具有優勢,因為其可用於支援寬範圍的放電條件,包含高度離子化電子迴旋加速器共振(ECR)電漿。Plasma can be produced in a variety of ways, including current discharge, radio frequency (RF) discharge, and microwave discharge. Current discharge is achieved by applying a potential between two electrodes in a gas. RF discharge is achieved by electrostatically or inductively coupling energy from a power source into the plasma. Parallel plates are typically used to electrostatically couple energy into the plasma. Induction coils are commonly used to sense current into the plasma. Microwave discharge is achieved by directly coupling microwave energy into a discharge chamber containing a gas via a microwave pass window. Microwave discharge is advantageous because it can be used to support a wide range of discharge conditions, including highly ionized electron cyclotron resonance (ECR) plasma.

ALD系統已使用了基於電漿的途徑來產生諸如臭氧之氧化劑氣體。在一個共用配置中,介電質障壁放電(DBD)臭氧產生器自作為饋入氣體提供至電暈放電源之氧(O2)來產生臭氧(O3)。參閱圖5,圖示了簡化的DBD臭氧產生器單元500。通常,乾燥饋入氣體氧530係穿過形成於電極510A與電極510B之間的間隙505,該等電極輪流由諸如交流電(AC)電壓源560之高壓源來通電。由該源560產生之電壓取決於產生器之配置而可達幾千伏特。或者,該等電極中之一個電極可處於接地電位,且另一個電極經通電至高壓。介電質材料520A、介電質材料520B係在通電的電極510A、電極510B及饋入氣體530之間插入。當低頻或高頻之高壓施加至電極510A、電極510B時,藉由間隙505中發生且橫跨介電質520A、介電質520B分佈之微放電在饋入氣體中產生臭氧550。間隙之幾何形狀及介電質材料之品質隨臭氧產生器生產商而變化。值得注意地,可使用由介電質分離的或呈圓柱形的平行板、使用具有介於其間之介電質管的同軸板以許多配置(通常為平坦配置)來製造DBD裝置。在共用之同軸配置中,該介電質經成形為與共用之螢光管相同之形狀。在大氣壓力下用稀有氣體或稀有氣體鹵化物混合物來充填該介電質,且用玻璃壁來作為介電質障壁。共用介電質材料包含玻璃、石英、陶瓷及聚合物。電極之間的間隙距離取決於應用而自0.1毫米至幾公分顯著變化。饋入氣體之組成物亦為臭氧產生器操作中之重要因素。The ALD system has used a plasma based approach to generate oxidant gases such as ozone. In one common configuration, the dielectric barrier discharge (the DBD) from the ozone generator feed gas is supplied as the oxygen supply to the corona discharge (O 2) to generate ozone (O 3). Referring to Figure 5, a simplified DBD ozone generator unit 500 is illustrated. Typically, dry feed gas oxygen 530 is passed through a gap 505 formed between electrode 510A and electrode 510B, which is alternately energized by a high voltage source such as an alternating current (AC) voltage source 560. The voltage generated by the source 560 can be several thousand volts depending on the configuration of the generator. Alternatively, one of the electrodes can be at ground potential and the other electrode can be energized to a high voltage. The dielectric material 520A and the dielectric material 520B are interposed between the energized electrode 510A, the electrode 510B, and the feed gas 530. When a low-frequency or high-frequency high voltage is applied to the electrode 510A and the electrode 510B, the ozone 550 is generated in the feed gas by the micro-discharge occurring in the gap 505 and distributed across the dielectric 520A and the dielectric 520B. The geometry of the gap and the quality of the dielectric material vary with the ozone generator manufacturer. Notably, the DBD device can be fabricated in a number of configurations (typically a flat configuration) using a parallel plate that is separated by a dielectric or cylindrical, using a coaxial plate with a dielectric tube interposed therebetween. In a shared coaxial configuration, the dielectric is shaped to be the same shape as a shared fluorescent tube. The dielectric is filled with a rare gas or a mixture of rare gas halides under atmospheric pressure, and a glass wall is used as a dielectric barrier. Common dielectric materials include glass, quartz, ceramics, and polymers. The gap distance between the electrodes varies significantly from 0.1 mm to several centimeters depending on the application. The composition of the feed gas is also an important factor in the operation of the ozone generator.

使用DBD原理之高效臭氧產生器需要饋入氣體中的氮來獲得最佳效能及一致的臭氧產生。臭氧之形成涉及在氧原子、氧分子及碰撞夥伴(諸如O2、N2或可能的其他分子)之間的反應。若碰撞夥伴為氮,則氮分子能夠將其激發能量(在衝擊後)傳遞至氧分子,從而導致解離。形成的一些激發氮自由基亦可解離氧或與氧化氮反應以釋放氧原子。可在該製程中產生許多不同形式之氮-氧化合物-NO、NO2、N2O及N2O5,已在輸出DBD-型臭氧產生器中對該等氮-氧化合物進行了量測。一些生產商已在致力於自其臭氧產生器之輸出臭氧流中減少或消除某些N-O物種之存在,如在一些例子中,可能發生臭氧流中N-O化合物對氣體線及焊縫之侵蝕性腐蝕。在習知的臭氧產生器中,缺乏對臭氧產生器之輸出流中N-O化合物之存在及類型的控制,且需要能夠監控及/或積極地控制此類化合物之形成及產生。High-efficiency ozone generators using the DBD principle require nitrogen fed into the gas for optimum performance and consistent ozone generation. The formation of ozone involves a reaction between an oxygen atom, an oxygen molecule, and a collision partner such as O 2 , N 2 or possibly other molecules. If the collision partner is nitrogen, the nitrogen molecules are able to transfer their excitation energy (after impact) to the oxygen molecules, resulting in dissociation. Some of the excited nitrogen radicals formed may also dissociate or react with the nitrogen oxides to release oxygen atoms. Many different forms of nitrogen-oxygen compounds -NO, NO 2 , N 2 O and N 2 O 5 can be produced in the process, and these nitrogen-oxygen compounds have been measured in an output DBD-type ozone generator. . Some manufacturers are already working to reduce or eliminate the presence of certain NO species from their ozone generator output ozone streams. For example, in some cases, corrosive corrosion of NO compounds to gas lines and welds may occur in the ozone stream. . In conventional ozone generators, there is a lack of control over the presence and type of NO compounds in the output stream of the ozone generator, and it is desirable to be able to monitor and/or actively control the formation and production of such compounds.

因此,需要一種用減少的流程時間在基板上沉積具有增強沉積均勻度之介電質薄膜之方法。亦需要一種系統來監控及/或控制在諸如臭氧產生器之氧化劑產生器中產生的氮-氧化合物。Therefore, there is a need for a method of depositing a dielectric film having enhanced deposition uniformity on a substrate with reduced process time. There is also a need for a system to monitor and/or control nitrogen-oxygen compounds produced in an oxidant generator such as an ozone generator.

本發明包含用於在基板上沉積具有增強沉積效率及均勻度之諸如氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)及氧化鉭(Ta2O5)之類金屬氧化物薄膜的方法及系統。本發明之具體表現利用組合各種前驅物之ALD系統,如在下文闡述,組合分子及激發氮-氧自由基/離子物種(下文稱為「NxOy物種」,其中「x」及「y」可構成任何合適整數,且該NxOy物種可包含諸如NO*及N2O*之激發物種),可能進一步組合諸如臭氧之氧化劑。本發明之具體表現亦包含電子構件及包含經由與本發明一致之方法製造的裝置的系統。The present invention comprises for depositing on a substrate with enhanced deposition efficiency and uniformity such as hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ) and yttrium oxide (Ta 2 O 5 ). A method and system for a metal oxide film. The specific performance of the present invention utilizes an ALD system that combines various precursors, as set forth below, combining molecules and exciting nitrogen-oxygen radicals/ion species (hereinafter referred to as "N x O y species", where "x" and "y" Any suitable integer may be constructed, and the N x O y species may comprise an excited species such as NO* and N 2 O*, possibly in combination with an oxidizing agent such as ozone. Specific manifestations of the invention also include electronic components and systems comprising devices made by methods consistent with the present invention.

在使用金屬鹵化物前驅物/臭氧氧化劑化學作用之薄膜金屬氧化物之ALD沉積中進行的實驗期間,當基板暴露於已由使用純氧饋入氣體產生的臭氧氧化劑時在基板上並未觀察到生長發生。然而,當在臭氧產生器中將氣態氮添加入氧流時(如普遍實施以增加臭氧產生效率一般),在ALD沉積製程期間觀察到層生長。舉例而言,在使用由純氧產生之臭氧的各種試驗中,在300℃時不能沉積均勻的HfO2層或ZrO2層,但當由氧/氮饋入氣體產生臭氧時,可沉積均勻的層。不同的試驗亦展示,生長率及均勻度取決於臭氧產生器中所用氮的量相對於氧饋入氣體的量。During an experiment conducted in an ALD deposition of a thin film metal oxide using a metal halide precursor/ozone oxidant chemistry, no observation was observed on the substrate when the substrate was exposed to an ozone oxidant that had been produced by the use of pure oxygen fed gas. Growth occurs. However, when gaseous nitrogen is added to the oxygen stream in the ozone generator (as is commonly practiced to increase ozone production efficiency), layer growth is observed during the ALD deposition process. For example, in various tests using ozone generated from pure oxygen, a uniform HfO 2 layer or ZrO 2 layer cannot be deposited at 300 ° C, but when ozone is generated by oxygen/nitrogen feed gas, uniform deposition can be performed. Floor. Different tests have also shown that the growth rate and uniformity depend on the amount of nitrogen used in the ozone generator relative to the amount of oxygen fed gas.

經實驗進一步測定,用於產生臭氧的N2饋入氣體之濃度對沉積製程有所影響。圖10展示一個此類試驗之圖表,其中0 ppm的N2展示出極少的均勻生長,40 ppm的N2引起生長增加,且當將N2調整至400 ppm時,發生顯著的均勻生長。然後,如圖11-圖12中圖示,經閉迴路控制且隨著圖表中所圖示之氮氣濃度變化,使用2.5 slm、18wt%之臭氧產生器中之O2流進行額外的實驗。進入反應室之臭氧注射流為1200 sccm。歷時3秒將HfCl4前驅物脈衝入該室,隨後進行3秒的沖洗,然後,歷時10秒將自臭氧產生器獲得之氣體脈衝入反應室,隨後進行10秒的沖洗。因此,沉積的金屬氧化物層之生長率在氮氣濃度增加時開始立即增加,且在氮氣濃度達到約110 ppm時達到第一峰(如在近視圖圖11中所見,該圖表示圖12之圖形的最左邊部份),且在氮氣濃度進一步增加時開始緩慢下降。同樣,均勻度(NU %)受到改良,且在約110 ppm的氮氣濃度處達到其最佳值。圖12展示在N2濃度增加時的額外影響;首先,在N2增加至約4000 ppm範圍時,厚度降低且均勻度降低,但然後,在N2濃度增加時趨勢自身顛倒,在24000 ppm的N2附近顯著變平。根據對沉積層之生長率及均勻度之所需效果,可將N2濃度調整以達成所需效果。圖13展示使用類似HfCl4前驅物及製程參數之方法之不同圖式,但展示出生長率及均勻度與供應至臭氧產生器之N2饋入氣體的流率有關。如可在該圖形中所見,增加N2流使沉積氧化鉿層之生長率實質增加且其均勻度得以改良。It was further determined by experiments that the concentration of the N 2 feed gas used to generate ozone has an influence on the deposition process. 10 shows such a graph of the test, where N 2 0 ppm uniformly exhibit little growth, 40 ppm causes an increase in the growth of N 2, and N 2 when adjusted to 400 ppm, significant growth occurs uniformly. Then, as illustrated in Figures 11-12, additional experiments were performed using closed loop control and with the NH 2 flow in the 2.5 slm, 18 wt% ozone generator as the nitrogen concentration shown in the graph changed. The ozone injection stream entering the reaction chamber was 1200 sccm. Over 3 seconds HfCl 4 precursor is pulsed into the chamber, followed by rinsing for 3 seconds, then for 10 seconds from the gas pulse generator to obtain the ozone into the reaction chamber, followed by rinsing for 10 seconds. Thus, the growth rate of the deposited metal oxide layer begins to increase immediately as the nitrogen concentration increases, and reaches a first peak when the nitrogen concentration reaches about 110 ppm (as seen in a close-up view of Figure 11, which shows the pattern of Figure 12). The leftmost part of the), and begins to slowly decrease as the nitrogen concentration increases further. Again, the uniformity (NU %) was improved and reached its optimum value at a nitrogen concentration of about 110 ppm. Figure 12 shows the increased N 2 concentration additional impact; First, an increase in N 2 to about 4000 ppm range, reduced thickness and uniformity decreases, but then, at increased N 2 concentration trend itself be reversed at 24000 ppm of The vicinity of N 2 is significantly flattened. The N 2 concentration can be adjusted to achieve the desired effect based on the desired effect on the growth rate and uniformity of the deposited layer. Figure 13 shows the use of similar methods HfCl 4 precursor and process parameters of the different figures, but exhibit growth rate and uniformity of supply to the ozone generator feed flow rate of N 2 gas is concerned. As can be seen in the graph, increasing the N 2 flow causes a substantial increase in the growth rate of the deposited yttria layer and its uniformity is improved.

使用其他ALD前驅物化學作用之實驗亦展示出當在臭氧產生器中氮饋入氣體濃度增加時金屬氧化物之沉積的改良。圖14圖示了展示在ALD方法中當供應至臭氧產生器之氮饋入氣體量增加時沉積氧化鑭薄膜之厚度及均勻度(NU%)的改良之圖表。在此狀況下使用的前驅物為稀土環戊二烯基(Cp)化合物La(iPrCp)3Experiments using other ALD precursor chemistries also demonstrate an improvement in the deposition of metal oxides as the nitrogen feed gas concentration increases in the ozone generator. Figure 14 illustrates an improved graph showing the thickness and uniformity (NU%) of the deposited yttria film as the amount of nitrogen fed gas supplied to the ozone generator increases in the ALD process. The precursor used in this case is the rare earth cyclopentadienyl (Cp) compound La(iPrCp) 3 .

進行額外的測試來測定當強氧化劑N2O在ALD方法中單獨用作氧化劑氣體時是否可用HfCl4及TMA前驅物化學作用引起金屬氧化物層生長。N2O氣體並非自臭氧產生型裝置供應,而係自氣瓶供應,且無論在ALD方法期間所用之溫度如何,皆未在此配置下觀察到生長。然而,在臭氧產生期間形成之活性N-O化合物對產生如上所述之均勻層生長有效。Additional tests were performed to determine whether the metal oxide layer growth was caused by the chemical action of HfCl 4 and TMA precursors when the strong oxidant N 2 O was used alone as the oxidant gas in the ALD process. N 2 O gas is not supplied from the ozone generating device, but is supplied from the gas cylinder, and no growth is observed in this configuration regardless of the temperature used during the ALD method. However, the active NO compound formed during ozone generation is effective for producing a uniform layer growth as described above.

經測定,源自將氧及氮暴露於電漿源所得之各種氮化合物導致產生增強薄膜沉積方法之生長率及均勻度的活性化合物。本發明之具體表現利用氮及氧化合物(特定而言,由將成份氣體暴露於電漿源而獲得的激發N-O物種)以在ALD方法中獲得金屬氧化物層之均勻生長。熟悉相關技術者亦瞭解,激發N-O物種之使用亦可用於上述的其他類型沉積方法中。It has been determined that various nitrogen compounds derived from exposure of oxygen and nitrogen to a plasma source result in an active compound that enhances the growth rate and uniformity of the thin film deposition process. The specific expression of the present invention utilizes nitrogen and oxygen compounds (specifically, excited N-O species obtained by exposing a component gas to a plasma source) to achieve uniform growth of the metal oxide layer in the ALD process. It is also known to those skilled in the relevant art that the use of an excited N-O species can also be used in other types of deposition methods described above.

在一個具體表現中,本發明之方法及系統利用含有呈自由基形式之氮-氧化合物之離子及活性物種(本文稱為活性NxOy物種,其中「x」及「y」可包括任何合適整數)的活化氣體以增強包含稀土氧化物之薄膜金屬氧化物的沉積。在反應器中已將基板暴露於ALD前驅物脈衝/沖洗循環之後,在氧化脈衝期間在存在或不存在諸如臭氧之額外氧化劑之情況下將氣體中之離子/自由基引入具有基板之反應器中。允許引入之氣體接觸待處理材料,從而發生所需反應。在一個具體表現中,在存在或不存在額外氧化劑之情況下藉由引入活化NxOy物種來氧化沉積材料之有機金屬層或含金屬鹵化物層。In one embodiment, the methods and systems of the present invention utilize ions and active species containing a nitrogen-oxygen compound in the form of a free radical (referred to herein as an active N x O y species, where "x" and "y" may include any A suitable integer) of the activating gas enhances the deposition of the thin film metal oxide comprising the rare earth oxide. After the substrate has been exposed to the ALD precursor pulse/flush cycle in the reactor, ions/radicals in the gas are introduced into the reactor with the substrate during the oxidation pulse in the presence or absence of additional oxidant such as ozone . The introduced gas is allowed to contact the material to be treated, so that the desired reaction occurs. In a specific performance, in the case where the presence or absence of an additional oxidizing agent is introduced by activating the N x O y species to oxidize the organic materials deposited metal layer or metal halide layer.

如本文所用,「基板」係指在其上執行薄膜處理的任何表面。舉例而言,其上可執行處理的基板取決於應用可由以下材料組成:諸如矽、氧化矽、絕緣體上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,或任何其他合適材料:諸如金屬、金屬氮化物、金屬合金,或其他導電材料、印刷有機或無機電路板或薄膜陶瓷基板。在較佳具體表現中,基板包括半導體。基板表面上之障壁層、金屬或金屬氮化物包含鈦、氮化鈦、氮化鎢、鉭及氮化鉭。基板可具有任何所需尺寸,諸如直徑為200 mm或300 mm的晶圓,且亦可呈長方形板或正方形板的形式。As used herein, "substrate" refers to any surface on which a film treatment is performed. For example, the substrate on which the process can be processed may consist of materials such as germanium, antimony oxide, germanium on insulator (SOI), carbon doped germanium oxide, tantalum nitride, germanium doped, antimony, arsenic. Gallium, glass, sapphire, or any other suitable material: such as metals, metal nitrides, metal alloys, or other conductive materials, printed organic or inorganic circuit boards or thin film ceramic substrates. In a preferred embodiment, the substrate comprises a semiconductor. The barrier layer, metal or metal nitride on the surface of the substrate comprises titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride. The substrate can be of any desired size, such as a wafer having a diameter of 200 mm or 300 mm, and can also be in the form of a rectangular or square plate.

如本文所用,「脈衝」係指引入一定量的化合物,該化合物經間歇地或非連續地引入反應室之反應區中。在各脈衝內之特定化合物的量可隨時間而變化,這取決於脈衝之持續時間。如下文更加全面闡述,取決於若干因素,諸如,所用處理室之體積容量、其連接之真空系統及其特定化合物之揮發性/反應性,對各脈衝之持續時間進行選擇。As used herein, "pulsing" refers to the introduction of a quantity of a compound that is introduced into the reaction zone of the reaction chamber either intermittently or discontinuously. The amount of a particular compound within each pulse can vary over time, depending on the duration of the pulse. As explained more fully below, the duration of each pulse is selected depending on several factors, such as the volumetric capacity of the processing chamber used, the vacuum system to which it is attached, and the volatility/reactivity of its particular compound.

在一個具體表現中,提供一種用於在位於反應室內之基板上沉積薄膜的方法,該方法包括將原子層沉積循環用於該基板,該循環包括:將基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;及將基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。前驅氣體可包含任何合適金屬,且本發明之各種具體表現包含包括諸如Sc、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、Yb及Lu之一或多種稀土金屬的前驅氣體。前驅氣體可包括任何所需化合物,諸如金屬化合物、有機金屬化合物或金屬鹵化物化合物,包含(但不限於)四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5);五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含La(THD)3)及(Y(THD)3;稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含三甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含肆-乙基-甲胺基鉿(TEMAHf)、肆(二乙胺基)鉿((Et2N)4Hf或TDEAH)及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物;四氯化矽;四氟化矽;及四碘化矽。In one embodiment, a method for depositing a thin film on a substrate located within a reaction chamber is provided, the method comprising recycling an atomic layer deposition to the substrate, the cycle comprising: exposing the substrate to a precursor gas for a precursor pulse interval And removing the precursor gas; and exposing the substrate to an oxidant comprising an oxidant gas and a nitrogen-containing species gas for a time interval of oxidation pulses, and then removing the oxidant. The precursor gas may comprise any suitable metal, and various specific manifestations of the invention include one of such as Sc, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb, and Lu or A precursor gas of various rare earth metals. The precursor gas may include any desired compound such as a metal compound, an organometallic compound or a metal halide compound including, but not limited to, hafnium tetrachloride (HfCl 4 ); titanium tetrachloride (TiCl 4 ); antimony pentachloride (TaCl 5 ); lanthanum pentafluoride (TaF 5 ); zirconium tetrachloride (ZrCl 4 ); rare earth β-diketone compound containing La(THD) 3 ) and (Y(THD) 3 ; rare earth cyclopentadiene a compound of the formula (Cp) comprising La(iPrCp) 3 ; a rare earth sulfhydryl compound comprising trimethyl sulfonium La (FAMD) 3 ; a cyclooctadienyl compound containing a rare earth metal; an alkyl sulfhydryl compound comprising bismuth-B Methyl-methylamino hydrazine (TEMAHf), hydrazine (diethylamino) hydrazine ((Et 2 N) 4 Hf or TDEAH) and hydrazine (dimethylamino) hydrazine ((Me 2 N) 4 Hf or TDMAH); Alkoxide; cerium halide compound; cerium tetrachloride; cerium tetrafluoride; and cerium tetraiodide.

氧化劑氣體可包括任何合適氧化劑,且可僅包含含氮物種氣體。該含氮物種氣體可包含活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中至少一種物質。氧化劑較佳可含有與選自由O、O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy自由基物種、NxOy離子物種、NxOy分子物種及其組合組成之群組的一或多種氣體組合的臭氧。可在氧化劑氣體中使用各種活性濃度之臭氧,包含近似5原子百分比至25原子百分比的O3。氧化劑氣體可包含自分解過程產生之分子、或活化離子或自由基物種,該分解過程例如(但不限於)將N2O5*分解為諸如NO2*及NO3*之類產物。The oxidant gas can include any suitable oxidant and can include only nitrogen-containing species gases. The nitrogen-containing species gas may comprise activated ions or radical species comprising at least one of NO*, N 2 O*, NO 2 *, NO 3 *, and N 2 O 5 *. Preferably, the oxidizing agent can be selected from the group comprising O, O 2, NO, N 2 O, NO 2, NO 3, N 2 O 5, NO x, N x O y radical species, N x O y ionic species, N x O y molecular species and combinations thereof consisting of one or more gas combinations of ozone. It may be used various active concentration of ozone in the oxidizing gas, containing approximately 25 atomic percent to 5 atomic percent O 3. The oxidant gas may comprise molecules derived from a self-decomposition process, or activated ions or radical species, such as, but not limited to, decomposing N 2 O 5 * into products such as NO 2 * and NO 3 *.

本發明之具體表現中所用臭氧可由供應有O2及氮源氣體之電漿放電來產生,該氮源氣體可包含N2或氮的任何氣態源,諸如NO、N2O、NO2、NO3及N2O5。在各種具體表現中,臭氧產生器之輸出流可包含含氮物種氣體,該含氮物種氣體包含分子NxOy物種及/或加上激發NxOy自由基或離子物種,且臭氧產生器之輸出流可包括O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy、其自由基及O3中兩種或兩種以上物質之混合物,其中該混合物包括約5原子百分比至25原子百分比的O3。可使用任何所需流量比來產生臭氧及NxOy物種,包含其中N2/O2之流量比超過0.001的混合物。氧及氮源氣體之比亦可影響ALD方法之其他方面,該等方面包含沉積薄膜之生長率;橫跨基板之薄膜均勻度;沉積薄膜之介電常數;沉積薄膜之折射率;及沉積薄膜之分子組成。輸出流可包括自分解過程產生之氣體之混合物,該等分解過程例如(但不限於)將N2O5分解為諸如NO2及NO3之類產物。The ozone used in the specific performance of the present invention can be produced by plasma discharge of a gas supplied with O 2 and a nitrogen source gas, which may contain any gaseous source of N 2 or nitrogen, such as NO, N 2 O, NO 2, NO. 3 and N 2 O 5 . In various manifestations, the output stream of ozone generator may comprise a nitrogen-containing species in a gas, the nitrogen-containing gas comprises molecular species N x O y species and / or excitation plus N x O y radical or ionic species, and the ozone generator the output of the inverter may include O 2, NO, N 2 O , NO 2, NO 3, N 2 O 5, NO x, N x O y, O and mixtures thereof radical of two or more substances 3 Wherein the mixture comprises from about 5 atomic percent to 25 atomic percent of O 3 . Any desired flow rate can be used to produce ozone and N x O y species ratio, wherein the mixture containing N 2 / O 2 flow rate ratio of more than 0.001. The ratio of oxygen to nitrogen source gases may also affect other aspects of the ALD process, including the growth rate of the deposited film; film uniformity across the substrate; dielectric constant of the deposited film; refractive index of the deposited film; and deposited film The molecular composition. The output stream can include a mixture of gases produced by the self-decomposition process, such as, but not limited to, decomposing N 2 O 5 into products such as NO 2 and NO 3 .

可藉由至少控制功率輸入、氧氣體輸入或氮輸入來調整本發明之產生器之具體表現。在一個具體表現中,功率輸入控制電漿,且輸送至電漿之功率量決定以下諸項中至少一項:沉積薄膜之生長率;橫跨基板之薄膜均勻度;沉積薄膜之介電常數;沉積薄膜之折射率;及沉積薄膜之分子組成。進一步提供了一種用以調整諸如臭氧之氧化劑產生以達成預定標準之方法,其通過以下方式進行:將O2及氮源氣體暴露於電漿放電;監控由電漿放電產生之O3及激發NxOy物種之比;及調整輸入至電漿放電之功率、外殼溫度、O2流量及氮源氣體之流量中至少一項。可將該標準選擇為產生器操作之任何合適參數,該等參數包含氧化劑流量;氧化劑/NxOy濃度比;活性NxOy物種濃度;活性NxOy物種之比,其中激發NxOy物種氣體含有複數種激發氮-氧化合物;及特定活性氮-氧化合物之濃度。The specific performance of the generator of the present invention can be adjusted by at least controlling the power input, oxygen gas input, or nitrogen input. In one embodiment, the power input controls the plasma, and the amount of power delivered to the plasma determines at least one of: a growth rate of the deposited film; a film uniformity across the substrate; a dielectric constant of the deposited film; The refractive index of the deposited film; and the molecular composition of the deposited film. Further provided is a method for adjusting the generation of an oxidant such as ozone to achieve a predetermined standard by exposing O 2 and a nitrogen source gas to a plasma discharge; monitoring O 3 generated by a plasma discharge and exciting N a ratio of x O y species; and adjusting at least one of power input to the plasma discharge, case temperature, O 2 flow rate, and flow rate of the nitrogen source gas. The standard can be selected as any suitable parameter for generator operation, including oxidant flow; oxidant/N x O y concentration ratio; active N x O y species concentration; active N x O y species ratio, wherein excitation N The x O y species gas contains a plurality of excited nitrogen-oxygen compounds; and a concentration of a specific reactive nitrogen-oxygen compound.

本發明之具體表現可包含呈任何組合之額外前驅物脈衝及氧化劑脈衝。該方法進一步包含將基板暴露於第二前驅氣體歷時第二前驅物脈衝間隔,然後移除該第二前驅氣體;及在移除該第二前驅氣體之後,將基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。大體而言,本發明之方法包含使用金屬鹵化物前驅物及包括臭氧及激發氮-氧物種之氧化劑來在任何薄膜堆疊中至少一者沉積金屬氧化物。金屬氧化物可包括(例如)Al2O3、HfO2、ZrO2、La2O3及Ta2O5中至少一種物質。金屬鹵化物包括與任何鹵化物元素組合之化合物中之任何金屬。Specific manifestations of the invention may include additional precursor pulses and oxidant pulses in any combination. The method further includes exposing the substrate to the second precursor gas for a second precursor pulse interval, and then removing the second precursor gas; and after removing the second precursor gas, exposing the substrate to including the oxidant gas and the nitrogen The oxidant of the species gas oxidizes the pulse interval and then removes the oxidant. In general, the method of the present invention comprises depositing a metal oxide in at least one of any thin film stack using a metal halide precursor and an oxidant comprising ozone and a nitrogen-oxygen species. The metal oxide may include, for example, at least one of Al 2 O 3 , HfO 2 , ZrO 2 , La 2 O 3 , and Ta 2 O 5 . Metal halides include any of the compounds in combination with any halide element.

ALD循環可重複任何數目次以達成諸如預定層厚度之任何所需目標。每個ALD循環的前驅物順序之重複次數亦可變化,同樣,每個ALD循環中第一前驅氣體順序之執行數量與第二前驅氣體順序之執行數量之比亦可變化。The ALD cycle can be repeated any number of times to achieve any desired target such as a predetermined layer thickness. The number of repetitions of the precursor sequence for each ALD cycle may also vary, as may the ratio of the number of executions of the first precursor gas sequence to the number of executions of the second precursor gas sequence per ALD cycle may also vary.

可選擇用於將各種氣體暴露於基板之脈衝間隔以滿足任何所需製程標準,諸如沉積層生長率或循環流程時間。在一個具體表現中,第一前驅物脈衝間隔在300毫秒至5秒之範圍內;第一氧化脈衝間隔在50毫秒至10秒之範圍內;第二前驅物脈衝間隔在500毫秒至10秒之範圍內;且第一氧化脈衝間隔在50毫秒至10秒之範圍內。在較佳具體表現中,第一前驅物脈衝間隔在1秒至2秒之範圍內;第一氧化脈衝間隔在50毫秒至2秒之範圍內;第二前驅物脈衝間隔在1秒至4秒之範圍內;且第一氧化脈衝間隔在50毫秒至2秒之範圍內。Pulse intervals for exposing various gases to the substrate can be selected to meet any desired process criteria, such as deposited layer growth rate or cycle flow time. In one embodiment, the first precursor pulse interval is in the range of 300 milliseconds to 5 seconds; the first oxidation pulse interval is in the range of 50 milliseconds to 10 seconds; and the second precursor pulse interval is in the range of 500 milliseconds to 10 seconds. Within the range; and the first oxidation pulse interval is in the range of 50 milliseconds to 10 seconds. In a preferred embodiment, the first precursor pulse interval is in the range of 1 second to 2 seconds; the first oxidation pulse interval is in the range of 50 milliseconds to 2 seconds; and the second precursor pulse interval is between 1 second and 4 seconds. Within the range; and the first oxidation pulse interval is in the range of 50 milliseconds to 2 seconds.

可使用任何所需技術自反應室中移除氣體及反應副產物。在一個例子中,移除前驅氣體及氧化劑氣體之方法包括將沖洗氣體引入反應室中歷時預定的沖洗時段,其中沖洗氣體包括氬氣、氮氣、氦氣、氫氣、成形氣體、氪氣及氙氣中之至少一種氣體;且可將沖洗時段選擇為在約3秒至10秒之範圍內。在替代性具體表現中,沖洗時段在500毫秒至四秒之範圍內。在一個具體實例中,移除前驅氣體及氧化劑氣體中一或多種氣體之方法可包括歷時預定的抽空時段自反應室抽空氣體。Gas and reaction by-products can be removed from the reaction chamber using any desired technique. In one example, the method of removing the precursor gas and the oxidant gas includes introducing a flushing gas into the reaction chamber for a predetermined rinsing period, wherein the rinsing gas includes argon, nitrogen, helium, hydrogen, forming gas, helium, and neon. At least one gas; and the rinsing period can be selected to be in the range of about 3 seconds to 10 seconds. In an alternative embodiment, the rinse period is in the range of 500 milliseconds to four seconds. In one embodiment, the method of removing one or more of the precursor gas and the oxidant gas can include evacuating the air from the reaction chamber over a predetermined evacuation period.

可藉由與本發明一致之方法來製造電子裝置。此類裝置包含無論作為個別構件產生或形成於半導體或其他基板內之電容器、電晶體、FLASH記憶體單元及DRAM記憶體單元。電子裝置可包括金屬氧化物介電層及與介電層連通之導電層,該介電層以本文所述方式藉由將ALD循環應用於基板而沉積於薄膜中。The electronic device can be manufactured by a method consistent with the present invention. Such devices include capacitors, transistors, FLASH memory cells, and DRAM memory cells that are either formed as individual components or formed in a semiconductor or other substrate. The electronic device can include a metal oxide dielectric layer and a conductive layer in communication with the dielectric layer, the dielectric layer being deposited in the film by applying an ALD cycle to the substrate in the manner described herein.

亦呈現了如下文更全面描述之系統,該系統包括:反應室;連接至反應器室之前驅物反應物源;連接至反應器室之沖洗氣體源;連接至反應器室之氧化劑源;連接至反應器室之激發氮物種源;及系統操作及控制機構,其中該系統經配置以執行本文所述之任何方法的步驟。應理解,本發明之說明書僅為示例性及解釋性,並不限制所要求保護之本發明。Also presented is a system more fully described below, the system comprising: a reaction chamber; a source of precursor reactants connected to the reactor chamber; a source of flushing gas coupled to the reactor chamber; an oxidant source coupled to the reactor chamber; A source of excited nitrogen species to the reactor chamber; and a system operation and control mechanism, wherein the system is configured to perform the steps of any of the methods described herein. The description of the present invention is intended to be illustrative and not restrictive.

現將詳細參閱本發明之示例性具體表現,該等具體表現之實施例圖示於附圖。Exemplary embodiments of the present invention will now be described in detail with reference to the exemplary embodiments illustrated in the drawings.

本發明之具體表現提供多種方法,該等方法用於製備各種應用中使用之薄膜,尤其用於沉積在電晶體、電容器及記憶體單元製造中使用之高-k介電質材料及障壁材料。該等方法包含使用原子層沉積(ALD)方法來在基板上沉積金屬氧化物薄膜層。The specific performance of the present invention provides a variety of methods for preparing films for use in a variety of applications, particularly for depositing high-k dielectric materials and barrier materials for use in the fabrication of transistors, capacitors, and memory cells. The methods include depositing a metal oxide thin film layer on a substrate using an atomic layer deposition (ALD) method.

在本發明之ALD沉積期間沉積於薄膜中之材料可為任何所需材料,諸如介電質材料、障壁材料、導電材料、成核/晶種材料或黏合材料。在一個具體表現中,沉積的材料可為介電質材料,其含有氧及至少一種諸如鑭、鉿、矽、鉭、鈦、鋁、鋯或其組合之額外元素,且在較佳具體表現中,沉積的材料包括金屬氧化物,且更特定言之包括稀土金屬氧化物。在額外具體表現中,介電質材料可含有氧化鉿、氧化鋯、氧化鉭、氧化鋁、氧化鑭、氧化鈦、氧化矽、氮化矽、其氮氧化物(例如,HfOxNy)、其矽酸鹽(例如,HfSixOy)、其鋁酸鹽(例如,HfAlxOy)、其氮氧化矽(例如,HfSixOyNz)及其組合。介電質材料亦可含有不同組成的多層。舉例而言,積層膜可藉由將氧化矽層沉積至氧化鑭鉿層以形成矽酸鑭鉿材料來形成。The material deposited in the film during the ALD deposition of the present invention can be any desired material, such as a dielectric material, a barrier material, a conductive material, a nucleating/seeding material, or a bonding material. In one embodiment, the deposited material can be a dielectric material containing oxygen and at least one additional element such as ruthenium, rhodium, iridium, osmium, titanium, aluminum, zirconium, or combinations thereof, and in preferred embodiment The deposited material includes metal oxides, and more specifically includes rare earth metal oxides. In additional specific embodiments, the dielectric material may contain yttria, zirconia, yttria, alumina, yttria, titania, yttria, tantalum nitride, nitrogen oxides thereof (eg, HfO x N y ), Its bismuth salt (for example, HfSi x O y ), its aluminate (for example, HfAl x O y ), its bismuth oxynitride (for example, HfSi x O y N z ), and combinations thereof. The dielectric material may also contain multiple layers of different compositions. For example, a build-up film can be formed by depositing a ruthenium oxide layer to a ruthenium oxide layer to form a ruthenium ruthenate material.

在一個具體表現中,本發明之方法及系統利用含有呈自由基形式之氮-氧化合物之離子及活性物種(下文稱為活性NxOy物種)的活化氣體,以增強包含稀土氧化物之薄膜金屬氧化物之沉積。在一個具體表現中,可能用諸如臭氧之氧化劑在金屬前驅物脈衝之後的ALD方法之脈衝期間將NxOy物種提供於基板上。In one embodiment, the method and system of the present invention utilizes an activation gas containing ions and active species of a nitrogen-oxygen compound in a free radical form (hereinafter referred to as an active N x O y species) to enhance the inclusion of rare earth oxides. Deposition of thin film metal oxides. In a specific performance, it may be with the oxidizing agent such as ozone in the ALD process during the pulse after the pulse of the metal precursor to N x O y species provided on the substrate.

可購得之臭氧輸送系統(諸如結合ALD方法使用之臭氧輸送系統)普遍依賴介電質障壁放電,且通常利用饋入氣體中之氮氣來提供一致的臭氧產生。經由一系列複雜的電漿反應,各種NxOy物種亦可在存在N2之情況下在電暈內由O2形成。雖然該等物種以各種濃度存在於產生器流出物中,但其並未由僅量測且積極控制O3濃度之輸送系統調整。Commercially available ozone delivery systems, such as the ozone delivery systems used in conjunction with the ALD method, generally rely on dielectric barrier discharges and typically utilize nitrogen fed into the gas to provide consistent ozone production. Through a series of complex plasma reactions, various N x O y species can also be formed by O 2 in the presence of N 2 in the corona. Although these species at various concentrations in the effluent produced, but not the only measure to actively control and adjust the delivery system O 3 concentrations.

使用臭氧的若干ALD方法對臭氧產生之條件極其敏感。舉例而言,HfO2沉積率及薄膜均勻度中的寬響應經實驗觀察與O2:N2饋入氣體比有關,且交叉流熱ALD反應器HfCl4/O3 ALD(使用純O3)中之反應器溫度具有處於低反應器溫度(200-250℃)間的方法視窗。在較高溫度(例如,300℃)下,經由實驗在O3產生期間添加N2時獲得了均勻HfO2層,如圖15中所表示。該等實驗結果支援以下假設:雖然基於臭氧之ALD中之反應性物種可以不排他性地為O3,但在300℃下NxOy物種亦有所貢獻。Several ALD methods using ozone are extremely sensitive to the conditions of ozone production. For example, the wide response in HfO 2 deposition rate and film uniformity is experimentally observed to be related to the O 2 :N 2 feed gas ratio, and cross-flow thermal ALD reactor HfCl 4 /O 3 ALD (using pure O 3 ) The reactor temperature in the process has a window of view between the low reactor temperatures (200-250 ° C). At higher temperatures (eg, 300 ° C), a uniform HfO 2 layer was obtained via the addition of N 2 during O 3 production via experiments, as shown in FIG. These experimental results support the hypothesis that although the reactive species in ozone-based ALD may be exclusively O 3 , the N x O y species also contributes at 300 °C.

因此,進行了研究以首先使用FTIR表徵(自臭氧輸送系統)進入且離開ALD反應器之氣態物種,其與O2:N2饋入氣體比、O3濃度及產生器功率水準有關。在具有N2:O2饋入氣體之O3輸送單元的出口處偵測N2O5及N2O,如圖16中所表示。O3及NxOy物種之壽命經調查與反應器溫度及塗層材料(HfO2、Al2O3等)有關。採用在臭氧與吸收的HfO2-HfCl3半反應期間之反應器流出物的FTIR分析來闡明NxOy物種對HfO2沉積之作用。基於FTIR及圍繞O3及NxOy物種對潛在反應途徑之作用的理論測定了在各種臭氧輸送條件下沉積之HfO2的ALD沉積率、薄膜均勻度及各種容積及電氣薄膜特性。因此,本發明之具體表現包含在使用作為來自臭氧產生的額外輸出引入反應室之各種分子及激發的NxOy物種時在層厚度及一致性上有所改良之ALD沉積。Therefore, studies were conducted to first characterize (from the ozone delivery system) gaseous species entering and leaving the ALD reactor using FTIR, which is related to the O 2 :N 2 feed gas ratio, the O 3 concentration, and the generator power level. N 2 O 5 and N 2 O are detected at the outlet of the O 3 delivery unit having a N 2 :O 2 feed gas, as shown in FIG. The life of the O 3 and N x O y species has been investigated in relation to reactor temperatures and coating materials (HfO 2 , Al 2 O 3 , etc.). The FTIR analysis of the reactor effluent during the half reaction of ozone with absorbed HfO 2 -HfCl 3 was used to elucidate the effect of the N x O y species on HfO 2 deposition. The ALD deposition rate, film uniformity, and various volume and electrical film properties of HfO 2 deposited under various ozone transport conditions were determined based on FTIR and the theory surrounding the effect of O 3 and N x O y species on potential reaction pathways. Accordingly, the present invention comprises a specific performance has improved over the layer of ALD deposition thickness and uniformity when used as an additional output from the ozone generated in the reaction chamber is introduced to various molecules and excitation of N x O y species.

參閱圖1,呈現了用於使用諸如NxOy物種之活化氣體化合物來沉積金屬氧化物薄膜之方法100。在方法100之開始處(105),將基板放置於反應室內,且加熱至預定溫度。預定溫度可包括任何所需溫度,且本發明之具體表現可包含諸如約130℃至300℃之溫度。在執行方法100期間,反應室經維持在任何所需壓力範圍(諸如,約1 mTorr至約200 Torr)下,且在本發明之一個具體表現中為約2 Torr至6 Torr,且另一具體表現中為約3 Torr至4 Ton,且在又一較佳具體表現中,反應室壓力經維持在約3.5 Torr下。Referring to Figure 1, presents a method 100 for using a compound such as an activated gas species of N x O y thin film of metal oxide is deposited. At the beginning of method 100 (105), the substrate is placed in a reaction chamber and heated to a predetermined temperature. The predetermined temperature may include any desired temperature, and the specific performance of the present invention may include a temperature such as about 130 ° C to 300 ° C. During execution of method 100, the reaction chamber is maintained at any desired pressure range (such as from about 1 mTorr to about 200 Torr), and in one particular embodiment of the invention is from about 2 Torr to 6 Torr, and another specific In the performance, it is about 3 Torr to 4 Ton, and in yet another preferred embodiment, the reaction chamber pressure is maintained at about 3.5 Torr.

載體氣體可經連續地或間歇地供給於反應室中,且可用於分佈前驅物產物、反應產物及氧化產物,或用於自反應室中沖洗剩餘氣體或反應副產物。合適的載體氣體或沖洗氣體可包含氬氣、氮氣、氦氣、氫氣、成形氣體或其組合。The carrier gas can be supplied to the reaction chamber continuously or intermittently and can be used to distribute the precursor product, the reaction product, and the oxidation product, or to flush residual gases or reaction by-products from the reaction chamber. Suitable carrier gases or flushing gases may comprise argon, nitrogen, helium, hydrogen, forming gases, or combinations thereof.

在啟動(105)ALD方法之後,在存在或不存在載體氣體之情況下將前驅氣體脈衝(110)至反應室中。前驅氣體可包括任何所需化合物,諸如金屬化合物、有機金屬化合物或金屬鹵化物化合物,包含(但不限於)四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5);五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含(La(THD)3)及(Y(THD)3);稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含四甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含肆-乙基-甲胺基鉿(TEMAHf)、肆(二乙胺基)鉿((Et2N)4Hf或TDEAH)及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物:四氯化矽、四氟化矽及四碘化矽。After the (105) ALD process is initiated, the precursor gas is pulsed (110) into the reaction chamber in the presence or absence of a carrier gas. The precursor gas may include any desired compound such as a metal compound, an organometallic compound or a metal halide compound including, but not limited to, hafnium tetrachloride (HfCl 4 ); titanium tetrachloride (TiCl 4 ); antimony pentachloride (TaCl 5 ); lanthanum pentafluoride (TaF 5 ); zirconium tetrachloride (ZrCl 4 ); rare earth β-diketone compound containing (La(THD) 3 ) and (Y(THD) 3 ); rare earth cyclopentane a dienyl (Cp) compound comprising La(iPrCp) 3 ; a rare earth sulfhydryl compound comprising tetramethyl ruthenium (FAMD) 3 ; a cyclooctadienyl compound comprising a rare earth metal; an alkyl guanamine compound, comprising肆-ethyl-methylamino hydrazine (TEMAHf), hydrazine (diethylamino) hydrazine ((Et 2 N) 4 Hf or TDEAH) and hydrazine (dimethylamino) hydrazine ((Me 2 N) 4 Hf or TDMAH); alkoxide; bismuth halide compound: ruthenium tetrachloride, ruthenium tetrafluoride and ruthenium tetraiodide.

在如本文所稱之氣體脈衝期間,將反應室中之基板暴露於供給氣體歷時預定時段,且本文將此時段稱為脈衝間隔。可將把前驅氣體提供至基板之脈衝間隔預定為任何所需時間,例如,可包含約300毫秒至5秒範圍的時間,且在一個具體表現中,該脈衝間隔處於1秒至3秒範圍中。During a gas pulse as referred to herein, the substrate in the reaction chamber is exposed to the supply gas for a predetermined period of time, and this period is referred to herein as a pulse interval. The pulse interval for providing the precursor gas to the substrate can be predetermined to any desired time, for example, can include a time in the range of about 300 milliseconds to 5 seconds, and in a particular performance, the pulse interval is in the range of 1 second to 3 seconds. .

在基板已暴露於前驅氣體歷時預定的脈衝間隔之後,藉由供給沖洗氣體及/或藉由抽空或抽取自反應室中沖洗(120)前驅氣體。可將沖洗時間或將沖洗氣體供給至反應室以置換及/或移除其他氣體或反應產物的時間選擇為任何所需時間,諸如約3至10秒,且在一些具體表現中可為約500毫秒至5秒。After the substrate has been exposed to the precursor gas for a predetermined pulse interval, the precursor gas is flushed (120) from the reaction chamber by supplying a purge gas and/or by evacuating or withdrawing. The rinsing time or time at which the rinsing gas is supplied to the reaction chamber to displace and/or remove other gases or reaction products may be selected to be any desired time, such as about 3 to 10 seconds, and may be about 500 in some specific performances. Milliseconds to 5 seconds.

將如上文所定義之活化NxOy物種氣體引入(130)反應室,且在一個具體表現中,在存在或不存在額外氧化劑之情況下藉由引入活化NxOy物種來氧化步驟(110)所沉積的前驅物材料層。在步驟(130)期間,可將氧化劑/氧化劑氣體或氧化劑/氧化劑氣體之組合同時地或相繼地供給至反應室中以與第一前驅物反應。NxOy物種氣體亦可在存在或不存在諸如氮氣N2之載體氣體之情況下引入,且可能進一步與氧化劑氣體或氧化劑氣體混合物組合來引入。如先前所述,NxOy物種可包括任何活化、離子或自由基N-O化合物,諸如活化一氧化二氮(N2O*)、一氧化氮(NO*)、五氧化二氮(N2O5*)或二氧化氮(NO2*)。NxOy物種氣體可以任何所需方式產生,且在一個具體表現中,NxOy物種由供應有O2、N2、N2O、NO、NH3或任何含氮分子之臭氧產生器經電漿放電來產生,其中含氮分子之濃度大於5 sccm/2000 sccm或2000 ppm。在另一具體表現中,藉由諸如電感耦合法、ECR(電子迴旋加速器共振)法、電容耦合法之遠距或直接電漿法,在存在任何所需饋入氣體之情況下,在反應室內產生NxOy物種或將NxOy物種供應至反應室。在又一具體表現中,在沒有額外氧氣之情況下藉由將諸如NO或N2O之氮-氧氣體饋入電暈放電(諸如由臭氧產生器所提供的)(或者遠距或直接電漿源)來產生NxOy物種。可將額外N2與氮-氧氣體一起提供至電暈放電或電漿源。在又一具體表現中,將化學計量的N2+O2提供至電暈放電或電漿源來產生NxOy*(例如,NO自由基)。The activated N x O y species gas as defined above is introduced into the (130) reaction chamber, and in one embodiment, the oxidation step is initiated by introducing an activated N x O y species in the presence or absence of an additional oxidant ( 110) A layer of precursor material deposited. During step (130), a combination of oxidant/oxidant gas or oxidant/oxidant gas may be supplied to the reaction chamber simultaneously or sequentially to react with the first precursor. The N x O y species gas may also be introduced in the presence or absence of a carrier gas such as nitrogen N 2 and may be further introduced in combination with an oxidant gas or oxidant gas mixture. As previously stated, the N x O y species may include any activated, ionic or free radical NO compound such as activated nitrous oxide (N 2 O*), nitric oxide (NO*), nitrous oxide (N 2 ) O 5 *) or nitrogen dioxide (NO 2 *). The N x O y species gas can be produced in any desired manner, and in a particular manifestation, the N x O y species is produced from ozone supplied with O 2 , N 2 , N 2 O, NO, NH 3 or any nitrogen-containing molecule. The device is produced by plasma discharge in which the concentration of nitrogen-containing molecules is greater than 5 sccm/2000 sccm or 2000 ppm. In another specific embodiment, in the reaction chamber, in the presence of any desired feed gas, by means of an inductive coupling method, an ECR (electron cyclotron resonance) method, a capacitive coupling method, or a direct plasma method. An N x O y species is produced or a N x O y species is supplied to the reaction chamber. In yet another embodiment, a nitrogen-oxygen gas such as NO or N 2 O is fed to a corona discharge (such as provided by an ozone generator) without additional oxygen (or remote or direct plasma) Source) to produce N x O y species. Additional N 2 may be provided to the corona discharge or plasma source along with the nitrogen-oxygen gas. In yet another embodiment, a stoichiometric amount of N 2 +O 2 is provided to a corona discharge or plasma source to produce N x O y * (eg, NO radicals).

在本發明ALD方法中,可在任何步驟中使用任何所需的氧化氣體,且此類氧化氣體可包含氧氣(O2)、臭氧(O3)、原子氧(O)、水(H2O)、過氧化氫(H2O2)、一氧化二氮(N2O)、一氧化氮(NO)、五氧化二氮(N2O5)、二氧化氮(NO2)、其衍生物或其組合。在一個較佳具體表現中,氧化氣體為臭氧/氧氣(O3/O2)混合物,以使得臭氧濃度處於O3/O2混合物之約5原子百分比的O3至約25原子百分比的O3之範圍內。在一個具體表現中,其中NxOy物種與諸如臭氧/氧氣(O3/O2)混合物之氧化劑同時引入,該等NxOy物種可表現為氧化流體之大於1體積%。在一個替代性較佳具體表現中,加入NxOy物種氣體之氧化氣體為臭氧/氧氣(O3/O2)混合物,以使得臭氧濃度處於O3/O2混合物之約12原子百分比的O3至約18原子百分比的O3之範圍內。In the ALD method of the present invention, any desired oxidizing gas may be used in any step, and such oxidizing gas may include oxygen (O 2 ), ozone (O 3 ), atomic oxygen (O), water (H 2 O). ), hydrogen peroxide (H 2 O 2 ), nitrous oxide (N 2 O), nitric oxide (NO), dinitrogen pentoxide (N 2 O 5 ), nitrogen dioxide (NO 2 ), derived therefrom Or a combination thereof. In a preferred embodiment, the oxidizing gas is an ozone/oxygen (O 3 /O 2 ) mixture such that the ozone concentration is between about 3 atomic percent of O 3 to about 25 atomic percent of O 3 of the O 3 /O 2 mixture. Within the scope. In one embodiment, wherein the N x O y species is introduced simultaneously with an oxidant such as an ozone/oxygen (O 3 /O 2 ) mixture, the N x O y species may be present as greater than 1% by volume of the oxidizing fluid. In an alternative preferred embodiment, the oxidizing gas to which the N x O y species gas is added is an ozone/oxygen (O 3 /O 2 ) mixture such that the ozone concentration is about 12 atomic percent of the O 3 /O 2 mixture. O 3 to about 18 atomic percent of O 3 .

繼續進行NxOy/氧化劑步驟(130)歷時預定脈衝間隔,且其持續時間可為任何合適的時間範圍,諸如約50毫秒至10秒,且在另一具體表現中,第一氧化脈衝間隔處於50毫秒至2秒之範圍內。然後,藉由供給沖洗氣體或藉由抽空或抽取,自反應室中沖洗(140)NxOy氣體或NxOy/氧化劑氣體。可將沖洗時間選擇為任何合適時間,諸如約3-10秒,且在一些具體表現中可為約500毫秒。The N x O y /oxidant step (130) is continued for a predetermined pulse interval, and its duration may be any suitable time range, such as about 50 milliseconds to 10 seconds, and in another specific manifestation, the first oxidation pulse interval It is in the range of 50 milliseconds to 2 seconds. Then, (140) N x O y gas or N x O y / oxidant gas is flushed from the reaction chamber by supplying a flushing gas or by evacuating or withdrawing. The rinse time can be selected to any suitable time, such as about 3-10 seconds, and can be about 500 milliseconds in some specific performances.

一旦已自反應室中沖洗了NxOy物種氣體或NxOy/氧化劑氣體,則繼續進行圖1之方法100,其中進行決定(150)是否要重複(160)該順序。可基於任何所需標準來進行此決定。舉例而言,可基於需要達成沉積物質之特定濃度、厚度及/或均勻度的前驅氣體脈衝順序之數量來進行該決定。在NxOy脈衝步驟達到所需的前驅物比率之前併入複數個前驅物/沖洗步驟的另一具體表現之狀況下亦可進行該決定,尤其在以下具體表現中可進行該決定,其中在暴露於NxOy物種之前將多個不同前驅物應用於基板以獲得諸如三元金屬氧化物的所需基板。舉例而言,以任何次序,含鑭前驅物可用於一個前驅物脈衝中,且含鉿前驅物用於另一前驅物脈衝中,從而在NxOy脈衝步驟之後產生HfLaO氧化物層。重複(160)方法100,直至滿足預定標準為止,此後,該方法結束(155)。Once the N x O y species gas or N x O y / oxidant gas has been flushed from the reaction chamber, the method 100 of Figure 1 is continued, wherein it is determined (150) whether the sequence is to be repeated (160). This decision can be made based on any required criteria. For example, the determination can be made based on the number of precursor gas pulse sequences that require a particular concentration, thickness, and/or uniformity of the deposited material to be achieved. This decision can also be made in the context of incorporating another specific manifestation of the plurality of precursors/rinsing steps prior to the N x O y pulse step reaching the desired precursor ratio, particularly in the following specific manifestations, wherein prior to exposure to N x O y species plurality of different precursor applied to a substrate to achieve a desired substrate such as a ternary metal oxide. For example, in any order, the ruthenium containing precursor can be used in one precursor pulse and the ruthenium containing precursor is used in another precursor pulse to produce an HfLaO oxide layer after the N x O y pulse step. Method 100 is repeated (160) until a predetermined criterion is met, after which the method ends (155).

圖2示意性圖示包含反應室之薄膜處理系統200之示例性具體表現,該反應室進一步包含用於將基板(未圖示)維持在預定的壓力、溫度及環境條件下且用於選擇性地將基板暴露於各種氣體之機構。前驅物反應物源220由管道或其他合適機構220A連接至反應室,且可進一步連接至歧管、閥控制系統、質量流量控制系統或其他機構以控制來自前驅物反應物源220之氣態前驅物。前驅物(未圖示)係由前驅物反應物源220供應,反應物(未圖示)在室溫及標準大氣壓力條件下可為液態或固態。此類前驅物可在反應物源真空容器內蒸發,該反應物源真空容器可在前驅物源室內維持在蒸發溫度下或高於蒸發溫度。在此類具體表現中,蒸發的前驅物可用載體氣體(例如,非活性或惰性氣體)傳輸,然後經由管道220A饋入反應室210。在其他具體表現中,前驅物可為標準條件下之蒸氣。在此類具體表現中,前驅物並不需要蒸發,且可以不需要載體氣體。舉例而言,在一個具體表現中,前驅物可儲存於氣瓶中。2 schematically illustrates an exemplary embodiment of a thin film processing system 200 including a reaction chamber, the reaction chamber further comprising means for maintaining a substrate (not shown) under predetermined pressure, temperature, and environmental conditions for selectivity A mechanism for exposing a substrate to various gases. The precursor reactant source 220 is coupled to the reaction chamber by a conduit or other suitable mechanism 220A and may be further coupled to a manifold, valve control system, mass flow control system, or other mechanism to control gaseous precursors from the precursor reactant source 220. . The precursor (not shown) is supplied from a precursor reactant source 220, which may be liquid or solid at room temperature and standard atmospheric pressure conditions. Such precursors can be vaporized in a reactant source vacuum vessel that can be maintained at or above the evaporation temperature within the precursor source chamber. In such specific manifestations, the vaporized precursor can be transported with a carrier gas (eg, an inert or inert gas) and then fed into the reaction chamber 210 via conduit 220A. In other embodiments, the precursor can be a vapor under standard conditions. In such specific manifestations, the precursor does not need to evaporate and a carrier gas may not be needed. For example, in one particular implementation, the precursor can be stored in a gas cylinder.

沖洗氣體源230亦連接至反應室210,且選擇性地將各種惰性氣體或稀有氣體供應至反應室210以協助自反應室中移除前驅氣體、氧化劑氣體、NxOy物種氣體或廢氣。可供應之各種惰性或稀有氣體可來自固態、液態或儲存氣態形式。氧化劑/NxOy物種源240連接240A至反應室210,再經由管道或其他合適機構220A連接至反應室,且可進一步連接至歧管、閥控制系統、質量流量控制系統或其他機構以控制來自前驅物反應物源220之氣態氧化劑/NxOy物種氣體。Purge gas source 230 is also connected to the reaction chamber 210, and optionally various rare gas or an inert gas supplied to the reaction chamber 210 to facilitate removal of the precursor gas from the reaction chamber, the oxidant gas, N x O y species or waste gas. The various inert or rare gases that can be supplied can come from solid, liquid or stored gaseous forms. The oxidant/N x O y species source 240 connects 240A to the reaction chamber 210 and is coupled to the reaction chamber via a conduit or other suitable mechanism 220A and can be further coupled to a manifold, valve control system, mass flow control system, or other mechanism for control A gaseous oxidant/N x O y species gas from precursor reactant source 220.

氧化劑/NxOy物種源240經由任何所需機構及任何所需饋入氣體來產生臭氧及NxOy物種,該氧化劑/NxOy物種源240包含習知的臭氧產生器、直接或遠距電漿產生器等。圖4圖示本發明之氧化劑/NxOy物種源240之一個具體表現,其中包含NxOy物種之輸出流240A係自產生器430中由電漿放電產生,自連接420至產生器430之氧化劑源410供應諸如O2之氧化劑,且氮源430連接440至產生器430且供應N2、N2O、NO、NH3或任何含氮分子。產生器430可進一步包括諸如DBD產生器之臭氧產生器、或利用任何遠距或直接電漿活化方法(諸如電感耦合法、ECR(電子迴旋加速器共振)法、或電容耦合法)之產生器。The oxidant/N x O y species source 240 produces ozone and N x O y species via any desired mechanism and any desired feed gas, the oxidant/N x O y species source 240 comprising a conventional ozone generator, directly Or a remote plasma generator, etc. 4 illustrates a particular representation of an oxidant/N x O y species source 240 of the present invention in which an output stream 240A comprising N x O y species is generated from a plasma discharge from a generator 430, from a connection 420 to a generator. The oxidant source 410 of 430 supplies an oxidant such as O 2 , and the nitrogen source 430 connects 440 to the generator 430 and supplies N 2 , N 2 O, NO, NH 3 or any nitrogen-containing molecule. Generator 430 may further include an ozone generator such as a DBD generator, or a generator utilizing any remote or direct plasma activation method such as inductive coupling, ECR (electron cyclotron resonance), or capacitive coupling.

在替代性具體表現(未圖示)中,在不存在額外氧化劑之情況下藉由將諸如NO或N2O之氮-氧氣體饋入在產生器430之電暈放電來產生NxOy物種。可將額外N2與氮-氧氣體一起提供至產生器430。在又一具體表現中,將化學計量的N2+O2提供至產生器430來產生NxOy*(例如,NO自由基)。In an alternative specific representation (not shown), N x O y is produced by feeding a nitrogen-oxygen gas such as NO or N 2 O to the corona discharge at generator 430 in the absence of additional oxidant. Species. Additional N 2 may be provided to generator 430 along with the nitrogen-oxygen gas. In yet another specific manifestation, stoichiometric N 2 +O 2 is provided to generator 430 to produce N x O y * (eg, NO radicals).

感測器450可用於監控氧化劑及由產生器430產生的NxOy物種之量、組成及/或濃度。感測器450可包括任何合適硬體、機構或軟體以偵測所需NxOy自由基或離子物種及/或氧化劑之存在,且在各種具體表現中該感測器450可包含包括傅立葉轉換紅外光譜分析儀之感測器、UV吸收感測器、密度感測器、傳導率/電容率感測器、化學發光感測器或氣體層析感測器。感測器450可進一步連接至NxOy物種產生器控制460,其經由各種使用者或自動化輸入470配置產生器430、氧化劑源410、氮源430及可選載體氣體源(未圖示)以在輸出流240A中產生所需組成及體積之NxOy物種及其他氣體。在一些具體表現中,此類其他氣體可包含諸如所需比率之O2/O3或其他氣體的氧化劑。舉例而言(但並非限制),產生器控制460可調制至產生器430之功率輸入(未圖示)以改變在氣態輸出流240A中各類型活化離子或自由基N-O化合物之組成。由於感測器450連接至產生器430及/或其輸出流240A,且藉由控制460經配置以接收來自感測器450之指示輸出流240A之組成及體積的改變的訊號,可藉由軟體及/或電子硬體實施閉迴路控制以操作電氣控制或氣動控制閥,從而除了控制輸入至產生器430之功率及/或頻率以外亦控制氮源氣體、氧化劑源氣體、載體氣體或其他氣體之流動以達成包含NxOy物種的所需輸出氣體組成。Sensor 450 may be used to monitor the amount of the oxidizing agent and the N x O y species generated by the generator 430, the composition and / or concentration. Sensor 450 can include any suitable hardware, mechanism, or software to detect the presence of a desired N x O y radical or ionic species and/or oxidant, and in various embodiments the sensor 450 can include Fourier A sensor for converting an infrared spectrum analyzer, a UV absorption sensor, a density sensor, a conductivity/capacitance sensor, a chemiluminescence sensor, or a gas tomography sensor. The sensor 450 can be further coupled to an N x O y species generator control 460 that configures the generator 430, the oxidant source 410, the nitrogen source 430, and an optional carrier gas source (not shown) via various user or automation inputs 470. The N x O y species and other gases of the desired composition and volume are produced in output stream 240A. In some embodiments, such other gases may comprise an oxidant such as a desired ratio of O 2 /O 3 or other gases. By way of example, but not limitation, generator control 460 can modulate to a power input (not shown) of generator 430 to alter the composition of each type of activated or free NO compound in gaseous output stream 240A. Since the sensor 450 is coupled to the generator 430 and/or its output stream 240A and is configured by the control 460 to receive a signal from the sensor 450 indicating the change in composition and volume of the output stream 240A, the software can be utilized by the software And/or the electronic hardware performs closed loop control to operate the electrical control or pneumatic control valve to control the nitrogen source gas, the oxidant source gas, the carrier gas or other gases in addition to controlling the power and/or frequency input to the generator 430. Flow to achieve the desired output gas composition comprising the N x O y species.

圖2亦圖示系統操作及控制機構260,該機構260提供電子電路及機械構件以選擇性地操作閥、歧管、泵及系統200中包含之其他設備。此類電路及構件操作以將前驅物、沖洗氣體、氧化劑/NxOy物種自各別的前驅物源220、沖洗氣體源230及氧化劑/NxOy源引入反應室210。系統操作及控制機構260亦控制氣體脈衝順序之定時、基板及反應室之溫度及反應室壓力及對於提供系統200之正常操作所必要的各種其他操作。操作及控制機構260可包含控制軟體及電氣或氣動控制閥來控制流入及流出反應室210之前驅物、反應物、氧化劑、NxOy物種及沖洗氣體的流動。在一個特別適於ALD反應器之具體表現中,(諸如)藉由在反應空間內表面上形成保護層,操作及控制機構260亦控制流入反應室210之處理氣體的流動以使該表面對ALD反應鈍化。在使表面鈍化之後,控制系統將諸如矽晶圓之基板加載至室210中,且使前驅物、氧化劑、NxOy物種及/或沖洗氣體流入室210以在基板上形成沉積物。控制系統可包含執行某些任務的諸如軟體或硬體構件(例如,FPGA或ASIC)之模組。模組可有利地經配置以常駐於控制系統之可定址儲存媒體上,且經配置以執行一或多個製程。2 also illustrates system operation and control mechanism 260 that provides electronic circuitry and mechanical components to selectively operate valves, manifolds, pumps, and other devices included in system 200. Such circuits and components operate to introduce precursors, purge gases, oxidant/N x O y species from respective precursor sources 220, purge gas source 230, and oxidant/N x O y sources into reaction chamber 210. The system operation and control mechanism 260 also controls the timing of the gas pulse sequence, the temperature of the substrate and reaction chamber, and the pressure of the reaction chamber and various other operations necessary to provide normal operation of the system 200. Operation and control mechanism 260 may include a control software and electrical or pneumatic control valve to control the inflow and outflow of the reaction chamber 210 precursors, reactants, oxidants, N x O y species and flow of flushing gas. In a particular embodiment that is particularly suitable for an ALD reactor, the operation and control mechanism 260 also controls the flow of process gas flowing into the reaction chamber 210 to form the surface to ALD, such as by forming a protective layer on the inner surface of the reaction space. Reaction passivation. In the surface after passivation, the load control system of the substrate such as silicon wafer to the chamber 210, and so the precursor was 210 deposit on the substrate to form the oxidant, N x O y species and / or a purge gas into the chamber. The control system can include modules such as software or hardware components (eg, FPGAs or ASICs) that perform certain tasks. The module can advantageously be configured to reside on an addressable storage medium of the control system and configured to perform one or more processes.

熟習相關技術者瞭解,可能存在包含不同數量及種類之前驅物反應物源、沖洗氣體源及/或氧化劑/NxOy源之本發明系統的其他配置。此外,此類技術者亦將瞭解,閥、管道、前驅物源、沖洗氣體源、載體氣體源及/或氧化劑源存在許多佈置,該等佈置可用於實現選擇性地將氣體饋入反應器反應室210中之目的。此外,作為薄膜處理系統之示意性表示,為簡化說明而省略了許多構件,且此類構件可包含(例如)各種閥、歧管、純化器、加熱器、容器、通氣孔及/或旁路。It will be apparent to those skilled in the art that there may be other configurations of the inventive system comprising different amounts and types of precursor reactant sources, flushing gas sources, and/or oxidant/N x O y sources. In addition, such techniques will also appreciate that there are many arrangements of valves, conduits, precursor sources, purge gas sources, carrier gas sources, and/or oxidant sources that can be used to selectively feed gas into the reactor reaction. The purpose in chamber 210. Moreover, as a schematic representation of a thin film processing system, many of the components are omitted for simplicity of illustration, and such components can include, for example, various valves, manifolds, purifiers, heaters, vessels, vents, and/or bypasses. .

圖3A展示處理系統200之替代性示意性具體實例,其中氧化劑/反應物源340連接340A至反應室210,且與亦連接360A至反應室之NxOy物種源360分離。經由此配置,系統操作及控制260可獨立於向反應室210引入含NxOy物種氣體,而自氧化劑/反應物源340引入氧化劑或其他反應物。經由此配置,可將氧化劑、含NxOy物種氣體或該兩者之組合之獨立氣體脈衝應用於反應室,以達成特定的層沉積效果。在一個示例性具體實例中,可應用氧化劑及含NxOy物種氣體之交替脈衝以在反應室210內之基板上沉積的金屬氧化物薄膜上獲得增強的生長率或均勻度。3A shows a schematic of a specific example of an alternative processing system 200, wherein the oxidant / reactant source 340 is connected to the reaction chamber 210 340A, N 360A and is also connected to the reaction chamber 360 x O y species source separation. Via this configuration, operation and control system 260 may be incorporated independently N x O y species-containing gas into the reaction chamber 210, and since the oxidizer / reactant source 340 or other oxidant introduced into the reaction product. With this configuration, an oxidant, an N x O y species gas, or a combination of the two, can be applied to the reaction chamber to achieve a particular layer deposition effect. In one exemplary embodiment, the oxidizing agent and can be applied to species containing alternating pulses y N x O gases in the metal oxide thin film on a substrate within a reaction chamber 210 for enhanced deposition or growth rate uniformity.

圖3B展示處理系統200之又一示意性具體實例,其中氧化劑/反應物源340連接340A至反應室210,且與整合於反應室210內之NxOy物種源390分離。並未圖示管道及連接,該等管道及連接將諸如含氧或氮之氣體之各種源饋入氣體供應至NxOy物種源390,或供應至其輸出連接,該輸出連接將含NxOy物種氣體供應至位於反應室210內之基板。類似於結合圖3A圖示的系統200之圖示,該系統操作及控制260可獨立於向反應室210引入含NxOy物種氣體,而自氧化劑/反應物源340引入氧化劑或其他反應物。亦經由此配置,可將氧化劑、含NxOy物種氣體或該兩者之組合之獨立氣體脈衝應用於反應室以達成特定的層沉積效果。在一個示例性具體實例中,可應用氧化劑及含NxOy物種氣體之交替脈衝,以在反應室210內之基板上沉積的金屬氧化物薄膜上獲得增強的生長率或均勻度3B shows schematically a further specific example of the processing system 200, wherein the oxidizer / reactant source 340 is connected to the reaction chamber 340A 210 and 390 separated with integrated N x O y species source 210 within the reaction chamber. Pipes and connections are not illustrated, which supply various source feed gases, such as oxygen or nitrogen containing gases, to the N x O y species source 390 or to their output connections, which will contain N The x O y species gas is supplied to the substrate located in the reaction chamber 210. 200. Similar to the illustration of a system illustrated in FIG. 3A, the operation and control system 260 may be incorporated independently N x O y species-containing gas into the reaction chamber 210, and since the oxidizer / reactant source 340 or other oxidant introduced into the reaction . Also via this configuration, an oxidant, an N x O y species gas, or a combination of the two, can be applied to the reaction chamber to achieve a particular layer deposition effect. In one exemplary embodiment, the oxidizing agent and may be applied alternating pulses containing species gases y N x O, to the metal oxide thin film on a substrate within the reaction chamber 210 to obtain an enhanced deposition rate or uniformity of growth

圖6圖示單個金屬氧化物(MOS)電晶體600,其由本發明之方法之具體表現製造以形成含有ALD-沉積的閘極絕緣體層之介電層620。使用經由本發明之方法及系統沉積的諸如HfO2、ZrO2、La2O3及Ta2O5、HfLaO及HfZrO之類的高-k介電質提供愈來愈小之電晶體的製造,該等電晶體與傳統的氧化矽類型介電質相比具有改良的漏洩電流及其他特徵。製備基板605用於沉積,通常用於沉積矽或含矽的材料。然而,如上關於基板類型之描述,亦可使用諸如鍺基板、砷化鎵基板及矽-藍寶石基板之類其他半導電材料。在沉積閘極介電質620之前,形成電晶體之基板605內之各種層,且製備基板之各種區域,諸如電晶體600之汲極擴散610及源極擴散615。通常清潔基板605以提供耗盡其原始氧化物之初始基板。亦可清潔基板以提供氫端面來改良化學吸附率。正在處理的電晶體之區域的形成排序可遵循在MOS電晶體之製造中通常執行的典型排序,如熟習該項技術者所知一般。Figure 6 illustrates a single metal oxide (MOS) transistor 600 fabricated by the specific representation of the method of the present invention to form a dielectric layer 620 comprising an ALD-deposited gate insulator layer. The use of high-k dielectrics such as HfO 2 , ZrO 2 , La 2 O 3 and Ta 2 O 5 , HfLaO and HfZrO deposited via the methods and systems of the present invention provides for the manufacture of smaller and smaller transistors, The transistors have improved leakage current and other characteristics compared to conventional yttria-type dielectrics. Substrate 605 is prepared for deposition, typically for depositing tantalum or niobium containing materials. However, as described above with respect to the type of substrate, other semiconductive materials such as a germanium substrate, a gallium arsenide substrate, and a germanium-sapphire substrate may also be used. Prior to deposition of the gate dielectric 620, various layers within the substrate 605 of the transistor are formed and various regions of the substrate, such as the drain diffusion 610 and the source diffusion 615 of the transistor 600, are prepared. Substrate 605 is typically cleaned to provide an initial substrate that depletes its original oxide. The substrate can also be cleaned to provide a hydrogen end face to improve the chemisorption rate. The ordering of the regions of the transistor being processed may follow the typical ordering typically performed in the fabrication of MOS transistors, as is known to those skilled in the art.

在各種具體表現中,覆蓋基板605上源極擴散區域615與汲極擴散區域610之間區域的介電質620係藉由根據本發明之圖1所述的ALD方法來沉積,且包括經由至少部分暴露於含NxOy物種氣體所沉積的呈分子比例之金屬氧化物層。圖示之單個介電層620僅為一個具體表現,且其在其他具體表現中亦可包含根據本發明之具體表現沉積之額外的薄膜金屬氧化物層或其他合適介電質層或障壁材料層。In various embodiments, the dielectric 620 covering the region between the source diffusion region 615 and the drain diffusion region 610 on the substrate 605 is deposited by the ALD method according to FIG. 1 of the present invention, and includes at least Partially exposed to a molecularly proportioned metal oxide layer deposited by a gas containing N x O y species. The illustrated single dielectric layer 620 is only one specific representation, and may include, in other embodiments, additional thin film metal oxide layers or other suitable dielectric or barrier material layers deposited in accordance with the specific embodiments of the present invention. .

電晶體600具有形成閘極介電質620上之單個閘極電極625的導電材料。通常,形成閘極625可包含形成多晶矽層,儘管可以替代性方法形成金屬閘極。製造基板605、源極區域615及汲極區域610及閘極625係藉由使用熟習該項技術者所知的標準方法或由本發明之具體表現增強的方法來執行。另外,用於形成電晶體之方法的各種要素之排序係用標準製造方法來進行,亦如熟習該項技術者所知一般。The transistor 600 has a conductive material that forms a single gate electrode 625 on the gate dielectric 620. Generally, forming the gate 625 can include forming a polysilicon layer, although an alternative method can be used to form the metal gate. Fabrication of substrate 605, source region 615, and drain region 610 and gate 625 are performed by standard methods known to those skilled in the art or enhanced by the specific performance of the present invention. In addition, the ordering of the various elements of the method for forming the transistor is carried out using standard manufacturing methods, as is known to those skilled in the art.

在圖示之具體表現中,介電層620經圖示為第一層,且直接與基板605接觸;然而,本發明並不限於此。在各種具體表現中,可將擴散障壁層插入介電層620與基板605之間以防止金屬污染影響裝置之電氣特性。雖然圖6圖示之電晶體600具有形成單個閘極電極625之導電材料,但該閘極介電質亦可用於浮動閘極裝置,諸如圖7圖示之快閃記憶體。In the particular representation of the illustration, dielectric layer 620 is illustrated as a first layer and is in direct contact with substrate 605; however, the invention is not limited thereto. In various embodiments, a diffusion barrier layer can be interposed between the dielectric layer 620 and the substrate 605 to prevent metal contamination from affecting the electrical characteristics of the device. Although the transistor 600 illustrated in FIG. 6 has a conductive material that forms a single gate electrode 625, the gate dielectric can also be used in a floating gate device, such as the flash memory illustrated in FIG.

圖7圖示根據本發明之一個具體表現製造的單個記憶體單元700。在此具體表現中,記憶體單元700為適合用於FLASH記憶體裝置或其他記憶體裝置之浮動閘極記憶體單元。類似於圖6圖示之電晶體600,記憶體單元700包含基板705(通常為矽,但可為如本文所述之其他基板),在該基板705中形成了源極區域715及汲極區域710。通常,記憶體單元700亦包含第一介電層720(其可稱為穿隧層)、儲存元件或浮動閘極725(由諸如多晶矽之導電材料形成)、第二介電層725及控制閘極735(亦由諸如多晶矽之導電材料形成)。Figure 7 illustrates a single memory cell 700 fabricated in accordance with one particular embodiment of the present invention. In this particular representation, memory unit 700 is a floating gate memory unit suitable for use in a FLASH memory device or other memory device. Similar to the transistor 600 illustrated in FIG. 6, the memory cell 700 includes a substrate 705 (typically germanium, but may be other substrates as described herein) in which a source region 715 and a drain region are formed. 710. In general, the memory cell 700 also includes a first dielectric layer 720 (which may be referred to as a tunneling layer), a storage element or floating gate 725 (formed of a conductive material such as polysilicon), a second dielectric layer 725, and a control gate. A pole 735 (also formed of a conductive material such as polysilicon).

類似於結合圖6描述之電晶體600,用本發明之方法之具體表現來製造記憶體單元700以形成介電層720或介電層730或形成其兩者。藉由使用由根據本發明之方法形成的ALD-沉積金屬氧化物閘極絕緣體層,可整體或部分地製造介電層720、介電層730。製備基板705用於沉積,通常用於沉積矽或含矽的材料。然而,如上關於基板類型之描述,亦可使用諸如鍺基板、砷化鎵基板及矽-藍寶石基板之類其他半導電材料。在沉積介電質720之前,形成電晶體之基板705內之各種層,且製備基板之各種區域,諸如記憶體單元700之汲極擴散710及源極擴散715。通常清潔基板基板705以提供耗盡其原始氧化物之初始基板。亦可清潔基板以提供氫端面來改良化學吸附率。正在處理的電晶體之區域的形成排序可遵循在MOS電晶體之製造中通常執行的典型排序,如熟習該項技術者所知一般。Similar to the transistor 600 described in connection with FIG. 6, the memory cell 700 is fabricated using the specific representation of the method of the present invention to form the dielectric layer 720 or the dielectric layer 730 or both. Dielectric layer 720, dielectric layer 730 may be fabricated in whole or in part by using an ALD-deposited metal oxide gate insulator layer formed by the method in accordance with the present invention. Substrate 705 is prepared for deposition, typically for depositing tantalum or niobium containing materials. However, as described above with respect to the type of substrate, other semiconductive materials such as a germanium substrate, a gallium arsenide substrate, and a germanium-sapphire substrate may also be used. Prior to deposition of the dielectric 720, various layers within the substrate 705 of the transistor are formed, and various regions of the substrate, such as the drain diffusion 710 and the source diffusion 715 of the memory cell 700, are prepared. The substrate substrate 705 is typically cleaned to provide an initial substrate that depletes its original oxide. The substrate can also be cleaned to provide a hydrogen end face to improve the chemisorption rate. The ordering of the regions of the transistor being processed may follow the typical ordering typically performed in the fabrication of MOS transistors, as is known to those skilled in the art.

在各種具體表現中,覆蓋基板705上源極擴散區域715與汲極擴散區域710之間區域的介電質720係藉由根據本發明之圖1所述的ALD方法來沉積,且包括經由至少部分暴露於含NxOy物種氣體所沉積的金屬氧化物層。在其他具體表現中,圖示之介電層720、介電層730亦可包含額外的金屬氧化物層或其他合適介電質層或障壁材料層。In various embodiments, the dielectric 720 covering the region between the source diffusion region 715 and the drain diffusion region 710 on the substrate 705 is deposited by the ALD method according to FIG. 1 of the present invention, and includes at least Partially exposed to a metal oxide layer deposited by a gas containing N x O y species. In other embodiments, the illustrated dielectric layer 720, dielectric layer 730 may also include additional metal oxide layers or other suitable dielectric or barrier material layers.

記憶體單元700具有在介電質720上之區域中形成控制閘極電極735及浮動閘極725的導電材料。通常,形成閘極725、閘極735可包含形成多晶矽層,儘管替代性方法可形成金屬閘極。製造基板705、源極區域715及汲極區域710及閘極725、閘極735之方法係藉由使用熟習該項技術者所知的標準方法來執行。另外,用於形成記憶體單元之方法的各種要素之排序係用標準製造方法來進行,其亦如熟習該項技術者所知一般。The memory cell 700 has a conductive material that forms a control gate electrode 735 and a floating gate 725 in a region on the dielectric 720. Generally, forming gate 725, gate 735 can include forming a polysilicon layer, although alternative methods can form a metal gate. The method of fabricating substrate 705, source region 715 and drain region 710, gate 725, and gate 735 is performed using standard methods known to those skilled in the art. Additionally, the ordering of the various elements of the method for forming a memory cell is performed using standard manufacturing methods, as is known to those skilled in the art.

在圖示之具體表現中,介電層720、介電層730經圖示為直接接觸基板705、浮動閘極725及控制閘極735。在其他具體表現中,可將擴散障壁層插入介電層720、介電層730及/或基板705、浮動閘極725及控制閘極735之間以防止金屬污染影響記憶體單元700之電氣特性。In the specific representation of the figure, the dielectric layer 720 and the dielectric layer 730 are illustrated as directly contacting the substrate 705, the floating gate 725, and the control gate 735. In other specific implementations, the diffusion barrier layer can be interposed between the dielectric layer 720, the dielectric layer 730 and/or the substrate 705, the floating gate 725, and the control gate 735 to prevent metal contamination from affecting the electrical characteristics of the memory cell 700. .

用於形成根據本發明之金屬氧化物介電層之方法的具體表現亦可應用於用以製造各種積體電路、記憶體裝置及電子系統中之電容器的方法。在用於製造電容器之具體表現中,方法包含形成第一導電層,藉由本文所述之ALD循環的具體表現在第一導電層上形成含有金屬氧化物層之介電層,及在介電層上形成第二導電層。金屬氧化物介電層之ALD形成允許在提供所需介電常數及/或其他可控制特徵之預定組成物內設計介電層。The specific expression of the method for forming the metal oxide dielectric layer according to the present invention can also be applied to methods for fabricating various integrated circuits, memory devices, and capacitors in electronic systems. In a particular embodiment for fabricating a capacitor, the method includes forming a first conductive layer, forming a dielectric layer comprising a metal oxide layer on the first conductive layer by the specific representation of the ALD cycle described herein, and dielectrically A second conductive layer is formed on the layer. The ALD formation of the metal oxide dielectric layer allows the dielectric layer to be designed within a predetermined composition that provides the desired dielectric constant and/or other controllable features.

可將具有由本文所述之本發明之具體表現製造的介電層的諸如電晶體、電容器及其他裝置之類電子構件實施於記憶體裝置、處理器及電子系統中。大體而言,如圖8所圖示,此類電子構件810可併入諸如資訊處理裝置之系統820。此類資訊處理裝置可包含無線系統、電信系統、諸如蜂巢式電話及智能電話之行動用戶單元、個人數位助理(PDAs)及電腦。圖9圖示了具有使用本文所述方法由原子層沉積形成的介電層(諸如HfLaO介電層)之電腦的具體表現,且下文有所描述。雖然下文展示了特定類型之記憶體裝置及計算裝置,但熟習該項技術者應瞭解,包含資訊處理裝置之若干類型的記憶體裝置及電子系統利用本主題。Electronic components such as transistors, capacitors, and other devices having dielectric layers fabricated from the specific embodiments of the invention described herein can be implemented in memory devices, processors, and electronic systems. In general, such an electronic component 810 can be incorporated into a system 820, such as an information processing device, as illustrated in FIG. Such information processing devices may include wireless systems, telecommunications systems, mobile subscriber units such as cellular and smart phones, personal digital assistants (PDAs), and computers. Figure 9 illustrates a specific representation of a computer having a dielectric layer (such as a HfLaO dielectric layer) formed by atomic layer deposition using the methods described herein, and is described below. Although certain types of memory devices and computing devices are shown below, those skilled in the art will appreciate that several types of memory devices and electronic systems including information processing devices utilize the subject matter.

如圖9所圖示之個人電腦900可包含之輸出裝置(諸如螢幕或監視器)910、鍵盤輸入裝置905及中央處理單元920。中央處理單元920通常可包含利用處理器935之電路925及將一或多個記憶體裝置940連接至處理器935之記憶體匯流排電路937。個人電腦900之處理器935及/或記憶體940亦包含至少一個電晶體或記憶體單元,該至少一個電晶體或記憶體單元具有使用根據本主題之具體表現的本文所述方法由原子層沉積形成的介電層。熟習該項技術者瞭解,電腦900中之其他電子構件可利用使用本文所述方法由原子層沉積形成的介電層,諸如經由至少部分暴露於含NxOy物種氣體而形成的介電層。此類構件可包含許多類型之積體電路,其包含處理器晶片組、視訊控制器、記憶體控制器、I/O處置器、BIOS記憶體、FLASH記憶體、音訊及視訊處理晶片等。熟習該項技術者亦瞭解,其他資訊處理裝置(諸如個人數位助理(PDAs))及行動通訊裝置(諸如蜂巢式電話及智慧電話)可併有藉由使用本發明之具體表現而形成的介電層。The personal computer 900 illustrated in FIG. 9 can include an output device (such as a screen or monitor) 910, a keyboard input device 905, and a central processing unit 920. Central processing unit 920 can generally include a circuit 925 that utilizes processor 935 and a memory bus circuit 937 that connects one or more memory devices 940 to processor 935. Processor 935 and/or memory 940 of personal computer 900 also includes at least one transistor or memory unit having atomic layer deposition using methods described herein in accordance with the specific teachings of the present subject matter. A dielectric layer is formed. Those skilled in the art understand that other electronic components of the computer 900 may be utilized using the methods described herein a dielectric layer formed by atomic layer deposition, a dielectric layer such as is formed by at least partially exposed to N x O y species-containing gas . Such components may include many types of integrated circuits including processor chipsets, video controllers, memory controllers, I/O handlers, BIOS memory, FLASH memory, audio and video processing chips, and the like. Those skilled in the art will also appreciate that other information processing devices (such as personal digital assistants (PDAs)) and mobile communication devices (such as cellular phones and smart phones) may have a dielectric formed by using the specific performance of the present invention. Floor.

雖然已描述了本發明之較佳具體表現,但應理解,本發明並不限於此,且可在不脫離本發明之情況下進行修改。本發明之範疇由所附申請專利範圍限定,且在文字上或以等效方式來自申請專利範圍含義內之所有裝置、製程及方法皆意欲被涵蓋於此。While a preferred embodiment of the invention has been described, it is understood that the invention is not limited thereto, and may be modified without departing from the invention. The scope of the invention is defined by the scope of the appended claims, and all means, processes and methods in the meaning of

100‧‧‧方法 100‧‧‧ method

105‧‧‧步驟 105‧‧‧Steps

110‧‧‧步驟 110‧‧‧Steps

120‧‧‧步驟 120‧‧‧Steps

130‧‧‧步驟 130‧‧‧Steps

140‧‧‧步驟 140‧‧‧Steps

150‧‧‧步驟 150‧‧‧ steps

155‧‧‧步驟 155‧‧‧Steps

160‧‧‧步驟 160‧‧‧Steps

200‧‧‧薄膜處理系統 200‧‧‧film processing system

210‧‧‧反應室 210‧‧‧Reaction room

220‧‧‧前驅物反應物源 220‧‧‧Precursor reactant source

220A‧‧‧機構/管道 220A‧‧‧Institution/Pipeline

230‧‧‧沖洗氣體源 230‧‧‧ flushing gas source

230A 230A

240‧‧‧氧化劑/NxOy物種源 240‧‧‧Oxidant/N x O y species source

240A‧‧‧輸出流 240A‧‧‧Output flow

260‧‧‧控制機構 260‧‧‧Control agency

340‧‧‧氧化劑/反應物源 340‧‧‧Oxidant/reactant source

340A‧‧‧連接關係 340A‧‧‧ Connection relationship

360‧‧‧NxOy物種源 360‧‧‧N x O y species source

360A‧‧‧連接關係 360A‧‧‧ Connection relationship

390‧‧‧NxOy物種源 390‧‧‧N x O y species source

410‧‧‧氧化劑源 410‧‧‧Oxiant source

420‧‧‧連接關係 420‧‧‧ Connection relationship

430‧‧‧產生器 430‧‧‧ generator

440‧‧‧連接關係 440‧‧‧ Connection relationship

450‧‧‧感測器 450‧‧‧Sensor

460‧‧‧NxOy物種產生器控制 460‧‧‧N x O y species generator control

470‧‧‧輸入 470‧‧‧ Input

500‧‧‧DBD臭氧產生器單元 500‧‧‧DBD ozone generator unit

505‧‧‧間隙 505‧‧‧ gap

510A‧‧‧電極 510A‧‧‧electrode

510B‧‧‧電極 510B‧‧‧electrode

520A‧‧‧介電材料 520A‧‧‧ dielectric materials

520B‧‧‧介電材料 520B‧‧‧ dielectric materials

530‧‧‧乾燥饋入氣體氧 530‧‧‧Dry feed gas oxygen

550‧‧‧臭氧 550‧‧‧Ozone

560‧‧‧AC電壓源 560‧‧‧AC voltage source

600‧‧‧MOS電晶體 600‧‧‧MOS transistor

605‧‧‧基板 605‧‧‧Substrate

610‧‧‧汲極擴散 610‧‧‧Bungan diffusion

615‧‧‧源極擴散 615‧‧‧Source diffusion

620‧‧‧閘極介電層 620‧‧‧ gate dielectric layer

625‧‧‧閘極電極 625‧‧‧gate electrode

700‧‧‧記憶體單元 700‧‧‧ memory unit

705‧‧‧基板 705‧‧‧Substrate

710‧‧‧汲極區域 710‧‧‧Bungee area

715‧‧‧源極區域 715‧‧‧ source area

720‧‧‧第一介電層 720‧‧‧First dielectric layer

725‧‧‧儲存元件或浮動閘極 725‧‧‧Storage components or floating gates

730‧‧‧第二介電層 730‧‧‧Second dielectric layer

735‧‧‧控制閘極 735‧‧‧Control gate

800 800

810‧‧‧電子構件 810‧‧‧Electronic components

820‧‧‧系統 820‧‧‧ system

900‧‧‧個人電腦 900‧‧‧PC

905‧‧‧鍵盤輸入裝置 905‧‧‧Keyboard input device

910‧‧‧輸出裝置 910‧‧‧output device

920‧‧‧中央處理單元 920‧‧‧Central Processing Unit

925‧‧‧電路 925‧‧‧ circuit

935‧‧‧處理器 935‧‧‧ processor

937‧‧‧記憶體匯流排電路 937‧‧‧Memory Bus Bar Circuit

940‧‧‧記憶體裝置 940‧‧‧ memory device

圖1圖示本發明之具體表現的方法流程。Figure 1 illustrates the process flow of a particular representation of the present invention.

圖2展示本發明之薄膜處理系統之圖解說明。Figure 2 shows an illustration of a thin film processing system of the present invention.

圖3A展示具有分離的氧化劑及NxOy物種源之本發明之薄膜處理系統的圖解說明。3A shows a diagram illustrating a thin film processing system according to the species and the oxidant having a separate source of O y N x invention.

圖3B展示在反應室內具有NxOy物種源之本發明之薄膜處理系統的圖解說明。Figure 3B shows an illustration of a thin film processing system of the present invention having a source of N x O y species in a reaction chamber.

圖4圖示本發明之氧化劑/NxOy物種源之一個具體表現。Figure 4 illustrates a specific representation of the oxidant/N x O y species source of the present invention.

圖5圖示先前技術之簡化DBD臭氧產生器單元。 Figure 5 illustrates a simplified DBD ozone generator unit of the prior art.

圖6圖示具有由與本發明一致之方法形成之介電層的金屬氧化物電晶體。 Figure 6 illustrates a metal oxide transistor having a dielectric layer formed by a method consistent with the present invention.

圖7展示具有由與本發明一致之方法形成之至少一個介電層的記憶體單元。 Figure 7 shows a memory cell having at least one dielectric layer formed by a method consistent with the present invention.

圖8圖示併有電子構件之通用系統,該電子構件包含由與本發明一致之方法形成之介電層。 Figure 8 illustrates a generalized system incorporating electronic components comprising a dielectric layer formed by methods consistent with the present invention.

圖9展示諸如電腦之資訊處理裝置,該裝置併有包含由與本發明一致之方法形成之介電層的電子構件。 Figure 9 shows an information processing device such as a computer having an electronic component comprising a dielectric layer formed by a method consistent with the present invention.

圖10展示圖示出在臭氧產生器中在各種濃度之氮饋入氣體下HfO2層生長率的圖表。 Figure 10 shows a graph illustrating the growth rate of HfO 2 layer under various concentrations of nitrogen feed gas in an ozone generator.

圖11展示圖示出當氮饋入氣體濃度正在變化時沉積的氧化鉿之另一試驗量測厚度及均勻度之圖表,且表示圖12之最左邊部份。 Figure 11 shows a graph illustrating another experimental measured thickness and uniformity of yttrium oxide deposited as the nitrogen feed gas concentration is changing, and represents the leftmost portion of Figure 12.

圖12展示圖示出當氮饋入氣體濃度正在變化時沉積的氧化鉿之試驗量測厚度及均勻度之圖表。 Figure 12 shows a graph plotting the measured thickness and uniformity of yttrium oxide deposited as the nitrogen feed gas concentration is changing.

圖13展示圖示出當氮饋入氣體流量正在變化時沉積的氧化鉿之試驗量測厚度和均勻度之圖表。 Figure 13 shows a graph plotting the measured thickness and uniformity of yttrium oxide deposited as the nitrogen feed gas flow is changing.

圖14圖示展示出當供應至臭氧產生器的氮饋入氣體量增加時沉積的氧化鑭薄膜之厚度及均勻度之改良的圖表。 Figure 14 graphically illustrates an improvement in the thickness and uniformity of the yttria film deposited as the amount of nitrogen feed gas supplied to the ozone generator increases.

圖15展示圖示出在各種濃度之氮饋入氣體下在具有比較性氮/氧比之臭氧產生器中的HfO2層生長率之圖表。 Figure 15 shows a graph illustrating the growth rate of HfO 2 layer in an ozone generator having a comparative nitrogen/oxygen ratio at various concentrations of nitrogen feed gas.

圖16圖示在具有N2:O2饋入氣體之O3輸送單元的出口處對N2O5及N2O進行的偵測。 Figure 16 illustrates the detection of N 2 O 5 and N 2 O at the outlet of an O 3 delivery unit having a N 2 :O 2 feed gas.

100...方法100. . . method

105...步驟105. . . step

110...步驟110. . . step

120...步驟120. . . step

130...步驟130. . . step

140...步驟140. . . step

150...步驟150. . . step

155...步驟155. . . step

160...步驟160. . . step

Claims (23)

一種用於在反應室內之基板上沉積薄膜之方法,該方法包括以下步驟:將原子層沉積循環應用於該基板,該循環包括以下步驟:將該基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;形成活性NxOy物種;將該活性NxOy物種引入反應室中;將該基板暴露於包括氧化劑氣體及該活性NxOy物種之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑;及在將該活性NxOy物種引入該反應室中之前,使用感測器以監控該活性NxOy物種且根據該監控調整一或多種製程參數。 A method for depositing a thin film on a substrate in a reaction chamber, the method comprising the steps of: applying an atomic layer deposition cycle to the substrate, the cycle comprising the steps of: exposing the substrate to a precursor gas for a precursor pulse interval, and then Removing the precursor gas; forming an active N x O y species; introducing the active N x O y species into the reaction chamber; exposing the substrate to an oxidant pulse interval including an oxidant gas and the active N x O y species, the oxidizing agent is then removed; and introduced into the reaction chamber prior to the active N x O y species, using sensors to monitor the activity of the N x O y species and one or more process adjustments based on the monitoring parameters. 如申請專利範圍第1項之方法,其中該前驅氣體包括選自由Sc、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、Yb、Lu及其組合組成之群組的稀土金屬。 The method of claim 1, wherein the precursor gas comprises a component selected from the group consisting of Sc, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb, Lu, and combinations thereof. Group of rare earth metals. 如申請專利範圍第1項之方法,其中該前驅氣體包括有機金屬化合物及金屬鹵化物化合物中之至少一種化合物。 The method of claim 1, wherein the precursor gas comprises at least one of an organometallic compound and a metal halide compound. 如申請專利範圍第1項之方法,其中該前驅氣體包括以下之至少一者:四氯化鉿(HfCl4);四氯化鈦(TiCl4);五氯化鉭(TaCl5); 五氟化鉭(TaF5);四氯化鋯(ZrCl4);稀土β-二酮化合物,包含(La(THD)3)及(Y(THD)3);稀土環戊二烯基(Cp)化合物,包含La(iPrCp)3;稀土脒基化合物,包含三甲脒鑭La(FAMD)3;包含稀土金屬之環辛二烯基化合物;烷基醯胺基化合物,包含:肆-乙基-甲胺基鉿(TEMAHf);肆(二乙胺基)鉿((Et2N)4Hf或TDEAH);及肆(二甲胺基)鉿((Me2N)4Hf或TDMAH);烷氧化物;矽的鹵化物化合物;四氯化矽;四氟化矽;及四碘化矽。 The method of claim 1, wherein the precursor gas comprises at least one of: hafnium tetrachloride (HfCl 4 ); titanium tetrachloride (TiCl 4 ); antimony pentachloride (TaCl 5 ); pentafluorocarbon TaF 5 ; zirconium tetrachloride (ZrCl 4 ); rare earth β-diketone compound containing (La(THD) 3 ) and (Y(THD) 3 ); rare earth cyclopentadienyl (Cp) compound , comprising La(iPrCp) 3 ; a rare earth sulfhydryl compound comprising trimethyl hydrazine La (FAMD) 3 ; a cyclooctadienyl compound containing a rare earth metal; an alkyl sulfhydryl compound comprising: hydrazine-ethyl-methylamine Base 铪 (TEMAHf); 肆 (diethylamino) hydrazine ((Et 2 N) 4 Hf or TDEAH); and 肆 (dimethylamino) hydrazine ((Me 2 N) 4 Hf or TDMAH); alkoxide a halogenated compound of cerium; cerium tetrachloride; cerium tetrafluoride; and cerium tetraiodide. 如申請專利範圍第1項之方法,其中該氧化劑氣體包含含氮物種氣體。 The method of claim 1, wherein the oxidant gas comprises a nitrogen-containing species gas. 如申請專利範圍第1項之方法,其中該活性NxOy物種包含活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者。 The method of claim 1, wherein the active N x O y species comprises an activated ion or a radical species comprising NO*, N 2 O*, NO 2 *, NO 3 * And at least one of N 2 O 5 *. 如申請專利範圍第1項之方法,其中該氧化劑氣體包括臭氧及選自由O、O2、NO、N2O、NO2、NO3、N2O5、NxOy自由基物種、NxOy離子物種及其組合組成之群組的一或多種氣體。 The method of claim 1, wherein the oxidant gas comprises ozone and is selected from the group consisting of O, O 2 , NO, N 2 O, NO 2 , NO 3 , N 2 O 5 , N x O y radical species, N One or more gases of the group consisting of x O y ion species and combinations thereof. 如申請專利範圍第7項之方法,其中該氧化劑氣體包括約5原子百分比至25原子百分比的O3The method of claim 7, wherein the oxidant gas comprises from about 5 atomic percent to 25 atomic percent of O 3 . 如申請專利範圍第7項之方法,其中O3係由O2及氮源氣體製得,其中使該O2及氮源氣體之混合物經受電漿放電。 The method of claim 7, wherein the O 3 is made from O 2 and a nitrogen source gas, wherein the mixture of the O 2 and the nitrogen source gas is subjected to a plasma discharge. 如申請專利範圍第9項之方法,其中該氮源氣體為N2、NO、N2O、NO2、NO3及N2O5中之至少一者。 The method of claim 9, wherein the nitrogen source gas is at least one of N 2 , NO, N 2 O, NO 2 , NO 3 and N 2 O 5 . 如申請專利範圍第1項之方法,其中該NxOy物種包含激發的NxOy自由基物種、激發的NxOy離子物種及其組合。 The method of claim 1, wherein the N x O y species comprises an excited N x O y radical species, an excited N x O y ion species, and combinations thereof. 如申請專利範圍第1項之方法,其中氧化劑氣體包括O、O2、NO、N2O、NO2、NO3、N2O5、NOx、NxOy、其自由基及O3中之兩者或兩者以上之混合物,且其中該混合物包括約5原子百分比至25原子百分比的O3The method according to Claim 1 patentable scope, wherein the oxidant gas comprises O, O 2, NO, N 2 O, NO 2, NO 3, N 2 O 5, NO x, N x O y, which is free radicals and O 3 a mixture of two or more of the two, and wherein the mixture comprises from about 5 atomic percent to 25 atomic percent of O 3 . 如申請專利範圍第10項之方法,其中N2/O2流量比>0.001。 The method of claim 10, wherein the N 2 /O 2 flow ratio is >0.001. 如申請專利範圍第10項之方法,其中該O2及該氮源氣體之比率決定以下之至少一者:含氮物種氣體之量,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;含氮物種氣體之濃度,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者; 該沉積薄膜之生長率;橫跨該基板之薄膜均勻度;該沉積薄膜之介電常數;該沉積薄膜之折射率;及該沉積薄膜之分子組成。 The method of claim 10, wherein the ratio of the O 2 and the nitrogen source gas determines at least one of: an amount of a nitrogen-containing species gas comprising an activated ion or a radical species, The activated ion or radical species comprises at least one of NO*, N 2 O*, NO 2 *, NO 3 *, and N 2 O 5 *; the concentration of the nitrogen-containing species gas, the nitrogen-containing species gas including activated ions or a radical species, the activated ion or radical species comprising at least one of NO*, N 2 O*, NO 2 *, NO 3 *, and N 2 O 5 *; growth rate of the deposited film; Film uniformity of the substrate; dielectric constant of the deposited film; refractive index of the deposited film; and molecular composition of the deposited film. 如申請專利範圍第10項之方法,其中功率輸入控制該電漿,且輸送至該電漿之功率量決定以下之至少一者:含氮物種氣體之量,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;含氮物種氣體之濃度,該含氮物種氣體包括活化離子或自由基物種,該等活化離子或自由基物種包含NO*、N2O*、NO2*、NO3*及N2O5*中之至少一者;該沉積薄膜之生長率;橫跨該基板之薄膜均勻度;該沉積薄膜之介電常數;該沉積薄膜之折射率;及該沉積薄膜之分子組成。 The method of claim 10, wherein the power input controls the plasma, and the amount of power delivered to the plasma determines at least one of: an amount of a nitrogen-containing species gas comprising an activated ion or a radical species, the activated ion or radical species comprising at least one of NO*, N 2 O*, NO 2 *, NO 3 *, and N 2 O 5 *; concentration of a nitrogen-containing species gas, the nitrogen-containing species The species gas includes an activated ion or a radical species, the activated ion or radical species comprising at least one of NO*, N 2 O*, NO 2 *, NO 3 *, and N 2 O 5 *; Growth rate; film uniformity across the substrate; dielectric constant of the deposited film; refractive index of the deposited film; and molecular composition of the deposited film. 如申請專利範圍第10項之方法,進一步包括以下步驟:藉由將O2及氮源氣體暴露於電漿放電來產生該氧化劑;監控由該電漿放電產生的O3及激發NxOy物種之比率;及 調整至該電漿放電之功率輸入、外殼之溫度、該O2之流量及該氮源氣體之流量中至少一者來達成預定標準。 The method of claim 10, further comprising the steps of: generating the oxidant by exposing the O 2 and nitrogen source gases to a plasma discharge; monitoring O 3 generated by the plasma discharge and exciting N x O y a ratio of species; and adjusting at least one of a power input of the plasma discharge, a temperature of the outer casing, a flow rate of the O 2 , and a flow rate of the nitrogen source gas to achieve a predetermined standard. 如申請專利範圍第16項之方法,其中該預定標準包含以下之至少一者:氧化劑流量;氧化劑/NxOy濃度比;活性NxOy物種濃度;活性NxOy物種之比率,其中該激發NxOy物種氣體含有複數種激發氮-氧化合物;及特定活性氮-氧化合物之濃度。 The method of claim 16, wherein the predetermined standard comprises at least one of: an oxidant flow rate; an oxidant/N x O y concentration ratio; an active N x O y species concentration; a ratio of active N x O y species, Wherein the excited N x O y species gas contains a plurality of excited nitrogen-oxygen compounds; and a concentration of the specific active nitrogen-oxygen compound. 如申請專利範圍第1項之方法,進一步包括以下步驟:將該基板暴露於第二前驅氣體歷時第二前驅物脈衝間隔,然後移除該第二前驅氣體;及在移除該第二前驅氣體之後,將該基板暴露於包括氧化劑氣體及含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑。 The method of claim 1, further comprising the steps of: exposing the substrate to a second precursor gas for a second precursor pulse interval, then removing the second precursor gas; and removing the second precursor gas Thereafter, the substrate is exposed to an oxidant comprising an oxidant gas and a nitrogen-containing species gas for an oxidative pulse interval, and then the oxidant is removed. 一種使用金屬鹵化物前驅物及包括臭氧及激發氮-氧物種之氧化劑來沉積金屬氧化物薄膜之方法;其中使用遠距電漿來形成該臭氧及該激發氮-氧物種,其中在將該激發氮-氧物種引入該反應室中之前,使用感測器以監控該激發氮-氧物種且根據該受監控的激發氮-氧物種調整一或多種製程參數,且其中由於該激發氮-氧物種,沉積率和沉積均勻度增加。 A method of depositing a metal oxide film using a metal halide precursor and an oxidant comprising ozone and a nitrogen-oxygen species; wherein a remote plasma is used to form the ozone and the excited nitrogen-oxygen species, wherein the excitation is performed Before the nitrogen-oxygen species are introduced into the reaction chamber, a sensor is used to monitor the excited nitrogen-oxygen species and one or more process parameters are adjusted according to the monitored excited nitrogen-oxygen species, and wherein the excited nitrogen-oxygen species , deposition rate and deposition uniformity increase. 如申請專利範圍第19項之方法,其中該金屬氧化物包括Al2O3、HfO2、ZrO2、La2O3及Ta2O5中至少一者。 The method of claim 19, wherein the metal oxide comprises at least one of Al 2 O 3 , HfO 2 , ZrO 2 , La 2 O 3 and Ta 2 O 5 . 如申請專利範圍第19項之方法,其中該金屬鹵化物包括與任何鹵元素呈化合物組合之任何金屬。 The method of claim 19, wherein the metal halide comprises any metal in combination with any halogen element. 一種用於在基板上沉積薄膜之方法,其包括藉由在將活性氮-氧物種引入反應室中之前,使用感測器以監控該活性氮-氧物種且根據該監控來調整提供至該反應室的該活性氮-氧物種之量來控制該沉積薄膜之沉積均勻度。 A method for depositing a thin film on a substrate, comprising: monitoring a reactive nitrogen-oxygen species using a sensor prior to introducing the active nitrogen-oxygen species into the reaction chamber and adjusting to provide for the reaction according to the monitoring The amount of the reactive nitrogen-oxygen species in the chamber controls the deposition uniformity of the deposited film. 一種用於在反應室內之基板上沉積薄膜之系統,其包括:反應室;連接至該反應器室之前驅物反應物源;連接至該反應器室之沖洗氣體源;連接至該反應器室之氧化劑源;連接至該反應器室之活化含氮物種源;系統操作及控制機構係經配置以使該系統將原子層沉積循環應用於基板,該循環包含:將該基板暴露於前驅氣體歷時前驅物脈衝間隔,然後移除該前驅氣體;及將該基板暴露於包括氧化劑氣體及活化含氮物種氣體之氧化劑歷時氧化脈衝間隔,然後移除該氧化劑;及連接至該活化含氮物種源之感測器,其中該感測器監控該活化含氮物種的量、組成、及濃度之一或多者。 A system for depositing a thin film on a substrate in a reaction chamber, comprising: a reaction chamber; a source of precursor reactant connected to the reactor chamber; a source of flushing gas connected to the reactor chamber; and a chamber connected to the reactor chamber An oxidant source; an activated nitrogen-containing species source coupled to the reactor chamber; the system operation and control mechanism configured to cause the system to apply an atomic layer deposition cycle to the substrate, the cycle comprising: exposing the substrate to a precursor gas for a duration Precursor pulse spacing, then removing the precursor gas; and exposing the substrate to an oxidant comprising an oxidant gas and an activating nitrogen-containing species gas for a oxidative pulse interval, then removing the oxidant; and connecting to the activated nitrogen-containing species source A sensor, wherein the sensor monitors one or more of the amount, composition, and concentration of the activated nitrogen-containing species.
TW099127063A 2009-08-14 2010-08-13 Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species TWI540221B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23401709P 2009-08-14 2009-08-14
US33260010P 2010-05-07 2010-05-07
US12/854,818 US8883270B2 (en) 2009-08-14 2010-08-11 Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species

Publications (2)

Publication Number Publication Date
TW201126009A TW201126009A (en) 2011-08-01
TWI540221B true TWI540221B (en) 2016-07-01

Family

ID=43383392

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099127063A TWI540221B (en) 2009-08-14 2010-08-13 Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species

Country Status (5)

Country Link
US (1) US8883270B2 (en)
KR (2) KR20170100070A (en)
CN (1) CN102625861B (en)
TW (1) TWI540221B (en)
WO (1) WO2011019950A1 (en)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8691985B2 (en) * 2011-07-22 2014-04-08 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
TWI551708B (en) 2011-07-22 2016-10-01 應用材料股份有限公司 Method of atomic layer deposition using metal precursors
KR101544329B1 (en) * 2011-09-08 2015-08-12 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 Plasma generation device, cvd device and plasma treatment particle generation device
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) * 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (en) 2014-06-04 2017-11-08 東京エレクトロン株式会社 Film forming apparatus, film forming method, storage medium
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
JP6354539B2 (en) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (en) 2015-03-17 2020-08-11 美商應用材料股份有限公司 Pulsed plasma for film deposition
KR101727259B1 (en) 2015-03-18 2017-04-17 연세대학교 산학협력단 Method and apparatus for forming oxide thin film
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (en) 2015-08-24 2022-01-14 삼성전자주식회사 Method of forming thin film and method of manufacturing integrated circuit device using the same
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US20170073812A1 (en) * 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10170700B2 (en) * 2016-02-19 2019-01-01 Arm Ltd. Fabrication of correlated electron material devices method to control carbon
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6568127B2 (en) * 2017-03-02 2019-08-28 株式会社Kokusai Electric Semiconductor device manufacturing method, program, and recording medium
JP6640781B2 (en) * 2017-03-23 2020-02-05 キオクシア株式会社 Semiconductor manufacturing equipment
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US648989A (en) * 1898-11-14 1900-05-08 La Verne W Noyes Water-supply regulator for windmills.
US2745640A (en) * 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) * 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) * 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) * 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) * 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4194536A (en) * 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4735259A (en) * 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) * 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (en) * 1987-06-25 1991-04-30 Kvaerner Eng PROCEDURE AT THE PLANT FOR TRANSPORTING HYDROCARBONS OVER LONG DISTANCE FROM A HYDROCARBON SOURCE TO SEA.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) * 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
IT1257434B (en) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom STEAM GENERATOR FOR VAPOR PHASE CHEMICAL LAYING PLANTS
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JPH07283149A (en) 1994-04-04 1995-10-27 Nissin Electric Co Ltd Thin film vapor growth device
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
JPH08335558A (en) 1995-06-08 1996-12-17 Nissin Electric Co Ltd Thin film vapor phase deposition apparatus
NO953217L (en) 1995-08-16 1997-02-17 Aker Eng As Method and arrangement of pipe bundles
US5736314A (en) * 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) * 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
US5732744A (en) * 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (en) * 1997-04-21 2006-03-08 株式会社フジキン Heating device for fluid controller
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) * 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
IT1308606B1 (en) 1999-02-12 2002-01-08 Lpe Spa DEVICE FOR HANDLING SUBSTRATES BY MEANS OF A SELF-LEVELING DEPRESSION SYSTEM IN INDUCTION EPISTAXIAL REACTORS WITH SUCCESSOR
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6645345B2 (en) 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) * 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (en) 1999-12-02 2003-01-24 한국전자통신연구원 Method for fabricating planar type micro cavity
JP2001176952A (en) * 1999-12-21 2001-06-29 Toshiba Mach Co Ltd Positional shift detector for wafer
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP2001342570A (en) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and manufacturing apparatus of semiconductor
JP2003529926A (en) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 Method and apparatus for adjustable gas injection into a plasma processing system
KR100367662B1 (en) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same
KR100467366B1 (en) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 A method for forming zirconium oxide film using atomic layer deposition
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (en) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
CN100372076C (en) * 2000-12-05 2008-02-27 东京毅力科创株式会社 Treatment method and apparatus of substrate
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (en) 2001-03-05 2010-06-23 東京エレクトロン株式会社 Fluid control device
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20050020071A1 (en) * 2001-07-31 2005-01-27 Jun Sonobe Method and apparatus for cleaning and method and apparatus for etching
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100431658B1 (en) * 2001-10-05 2004-05-17 삼성전자주식회사 Apparatus for heating a substrate and apparatus having the same
DE20221269U1 (en) 2001-10-26 2005-12-08 Applied Materials, Inc., Santa Clara Gas supply device for depositing atomic layers
KR100446619B1 (en) 2001-12-14 2004-09-04 삼성전자주식회사 Inductively coupled plasma system
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
JP2004014952A (en) 2002-06-10 2004-01-15 Tokyo Electron Ltd Processing system and processing method
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
EP1540705A4 (en) 2002-07-19 2009-12-16 Entegris Inc Liquid flow controller and precision dispense apparatus and system
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7122085B2 (en) * 2002-07-30 2006-10-17 Asm America, Inc. Sublimation bed employing carrier gas guidance structures
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
JP2004091848A (en) 2002-08-30 2004-03-25 Tokyo Electron Ltd Gaseous raw material supply system for thin film forming apparatus and thin film forming apparatus
EP1540259A2 (en) * 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
KR100800639B1 (en) 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 Plasma processing method, semiconductor substrate and plasma processing system
TWI338323B (en) * 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (en) 2003-03-07 2004-09-30 Watanabe Shoko:Kk Vaporizing device and film forming device using it, and method for vaporising and film forming
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) * 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (en) 2003-07-23 2009-07-22 エスペック株式会社 Thermal plate and test equipment
JP2005072405A (en) 2003-08-27 2005-03-17 Sony Corp Forming method of thin film and manufacturing method of semiconductor device
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
KR100551138B1 (en) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 Adaptively plasma source for generating uniform plasma
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100765681B1 (en) 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 Process for producing semiconductor device and substrate treating apparatus
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US20070054405A1 (en) * 2003-10-23 2007-03-08 Ortho-Clinical Diagnostics, Inc. Patient sample classification based upon low angle light scattering
KR20060096445A (en) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 Reaction system for growing a thin film
US7329947B2 (en) * 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (en) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 Dielectric layer alloyed hafnium oxide and aluminium oxide and method for fabricating the same
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (en) 2004-02-03 2005-10-20 Infineon Technologies Ag Use of dissolved hafnium alkoxides or zirconium alkoxides as precursors for hafnium oxide and hafnium oxynitride layers or zirconium oxide and zirconium oxynitride layers
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (en) 2004-04-01 2006-12-27 南昌大学 Bilayer inlet gas spray nozzle in use for metal-organic chemical vapor deposition device
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (en) 2004-06-21 2010-09-01 株式会社Sumco Heat treatment jig for semiconductor silicon substrate
KR100578819B1 (en) 2004-07-15 2006-05-11 삼성전자주식회사 method of manufacturing a thin layer using atomic layer deposition, and method of manufacturing a gate structure and a capacitor using the same
US20090107404A1 (en) * 2004-07-30 2009-04-30 Katten Muchin Rosenman Llp Epitaxial reactor with susceptor controlled positioning
ITMI20041677A1 (en) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct CLEANING PROCESS AND OPERATIONAL PROCESS FOR A CVD REACTOR.
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101061578A (en) * 2004-10-19 2007-10-24 佳能安内华股份有限公司 Substrate supporting/transferring tray
JP2006135161A (en) * 2004-11-08 2006-05-25 Canon Inc Method and apparatus for forming insulating film
TWI553703B (en) 2004-11-18 2016-10-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
WO2006056091A1 (en) 2004-11-24 2006-06-01 Oc Oerlikon Balzers Ag Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (en) 2004-12-28 2006-07-13 Sharp Corp Vapor phase deposition device and manufacturing method of film-formed substrate
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP4934595B2 (en) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4694878B2 (en) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
JP4753173B2 (en) 2005-06-17 2011-08-24 株式会社フジキン Fluid control device
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (en) 2005-09-21 2007-04-05 Sony Corp Manufacturing method of semiconductor device
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP4940635B2 (en) 2005-11-14 2012-05-30 東京エレクトロン株式会社 Heating device, heat treatment device and storage medium
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (en) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (en) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 Apparatus For Fabricating Flat Panel Display, Detector Static Electricity Quantity Thereof And Method For Detecting
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP2007266464A (en) 2006-03-29 2007-10-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP4193883B2 (en) * 2006-07-05 2008-12-10 住友電気工業株式会社 Metalorganic vapor phase epitaxy system
KR100799735B1 (en) 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
KR100791334B1 (en) * 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (en) 2006-08-30 2007-08-30 한국화학연구원 Preparation of nanolaminates by atomic layer deposition for non-volatile floating gate memory devices
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101522943B (en) * 2006-10-10 2013-04-24 Asm美国公司 Precursor delivery system
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
DE102007002962B3 (en) 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
JP5103056B2 (en) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
CN100590804C (en) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 Method for depositing atomic layer and semiconductor device formed by the same
JP4900110B2 (en) * 2007-07-20 2012-03-21 東京エレクトロン株式会社 Chemical vaporization tank and chemical treatment system
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US20090085156A1 (en) * 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (en) * 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 Rotational antenna and semiconductor device including the same
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (en) * 2008-06-09 2009-12-17 Canon Inc Exposure device and device manufacturing method
US8726837B2 (en) * 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (en) 2008-08-04 2010-02-12 삼성전기주식회사 Showerhead and chemical vapor deposition apparatus having the same
US20100025796A1 (en) * 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
JP2010087467A (en) * 2008-09-04 2010-04-15 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and recording medium with recorded program for implementing the film deposition method
CN103346116B (en) 2008-10-07 2016-01-13 应用材料公司 For effectively removing the equipment of halogen residues from etching substrates
JP2010153769A (en) * 2008-11-19 2010-07-08 Tokyo Electron Ltd Substrate position sensing device, substrate position sensing method, film forming device, film forming method, program, and computer readable storage medium
US8405005B2 (en) 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
JP5221421B2 (en) 2009-03-10 2013-06-26 東京エレクトロン株式会社 Shower head and plasma processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (en) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8465791B2 (en) * 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (en) * 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
JP5573666B2 (en) 2010-12-28 2014-08-20 東京エレクトロン株式会社 Raw material supply apparatus and film forming apparatus
JP5820731B2 (en) 2011-03-22 2015-11-24 株式会社日立国際電気 Substrate processing apparatus and solid material replenishment method
JP5203482B2 (en) * 2011-03-28 2013-06-05 株式会社小松製作所 Heating device
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) * 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) * 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9005539B2 (en) * 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) * 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) * 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (en) * 2012-10-31 2016-08-02 東京エレクトロン株式会社 Heat treatment equipment

Also Published As

Publication number Publication date
KR20120073201A (en) 2012-07-04
CN102625861A (en) 2012-08-01
TW201126009A (en) 2011-08-01
KR102042281B1 (en) 2019-11-07
US20110070380A1 (en) 2011-03-24
US8883270B2 (en) 2014-11-11
CN102625861B (en) 2014-12-10
KR20170100070A (en) 2017-09-01
WO2011019950A1 (en) 2011-02-17

Similar Documents

Publication Publication Date Title
TWI540221B (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101442212B1 (en) ALD of metal silicate films
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
US20140127422A1 (en) Method and Apparatus for High-K Gate Performance Improvement and Combinatorial Processing
TWI497582B (en) Silicon-selective dry etch for carbon-containing films
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
US20060205231A1 (en) Film formation method and apparatus for semiconductor process
US20060207504A1 (en) Film formation method and apparatus for semiconductor process
TW201921426A (en) Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US9163309B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TW201704517A (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US8168270B2 (en) Film formation method and apparatus for semiconductor process
TW200832551A (en) Film formation method and apparatus for semiconductor process
KR20140022454A (en) Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US9224594B2 (en) Surface preparation with remote plasma
KR102650948B1 (en) Method for cleaning components of plasma processing apparatus
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
TWI621218B (en) Germanium-containing semiconductor device and method of forming