KR20140022454A - 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법 - Google Patents

혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법 Download PDF

Info

Publication number
KR20140022454A
KR20140022454A KR1020147000087A KR20147000087A KR20140022454A KR 20140022454 A KR20140022454 A KR 20140022454A KR 1020147000087 A KR1020147000087 A KR 1020147000087A KR 20147000087 A KR20147000087 A KR 20147000087A KR 20140022454 A KR20140022454 A KR 20140022454A
Authority
KR
South Korea
Prior art keywords
rare earth
mixed rare
substrate
nitrogen
mixed
Prior art date
Application number
KR1020147000087A
Other languages
English (en)
Inventor
로버트 디 클라크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140022454A publication Critical patent/KR20140022454A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

산화물 또는 알루미네이트의 형태로 적어도 2개의 희토류 금속 원소를 포함하는 게이트 유전체를 증착하는 방법이 제공된다. 이 방법은 프로세스 챔버(10)에 기판(25, 92)을 배치하는 단계 및 제 1 희토류 전구체를 포함하는 가스 펄스 및 제 2 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계를 포함한다. 기판(25, 92)은 또한 선택적으로 알루미늄 전구체를 포함하는 가스 펄스에 노출될 수 있다. 각 전구체 가스 펄스 이후 순차적으로, 기판(25, 92)은 산소-함유 가스의 가스 펄스에 노출된다. 변형 실시예에서, 제 1 및 제 2 희토류 전구체는 함께 펄싱될 수 있고, 이 중 어느 하나 또는 모두가 알루미늄 전구체와 함께 펄싱될 수 있다. 제 1 및 제 2 희토류 전구체는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계는 소기의 두께를 갖는 혼합 희토류 산화물 또는 알루미네이트 층(96)을 증착하도록 반복될 수 있다. 퍼지 또는 배출 단계는 또한 각 가스 펄스 이후에 수행될 수 있다.

Description

혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법{METHOD OF FORMING MIXED RARE EARTH OXIDE AND ALUMINATE FILMS BY ATOMIC LAYER DEPOSITION}
관련 출원 참조
본 출원은, 2006년 3월 31일자 출원된 "METHOD OF FORMING MIXED RARE EARTH NITRIDE 및 ALUMINUM NITRIDE FILMS BY ATOMIC LAYER DEPOSITION"명칭의 계류중인 미국특허출원 제11/278,393호(Attorney Docket No. TTCA-127B); 2006년 3월 31일자 출원된 "METHOD OF FORMING MIXED RARE EARTH OXYNITRIDE 및 ALUMINUM OXYNITRIDE FILMS BY ATOMIC LAYER DEPOSITION"명칭의 계류중인 미국특허출원 제11/278,396호(Attorney Docket No. TTCA-127C); 2006년 3월 31일자 출원된 "SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS"명칭의 계류중인 미국특허출원 제11/278278,397호(Attorney Docket No. TTCA-127D); 및 2006년 3월 31일자 출원된 "SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING ALUMINUM 및 MIXED RARE EARTH ELEMENTS"명칭의 계류중인 미국특허출원 제11/278,399호(Attorney Docket No. TTCA- 127E)에 관한 것이다. 이들 출원의 전체 내용은 본원에서 전체적으로 참고로 반영되어 있다.
발명의 분야
본 발명은 반도체 제조용 유전체 재료를 형성하는 방법에 관한 것으로, 보다 구체적으로 복수의 상이한 희토류 금속 원소를 함유하는 고 유전율의 혼합 희토류 산화물 및 알루미네이트 막을 형성하는 방법에 관한 것이다.
고 유전율(하이-k) 재료는 커패시터 유전체로서 사용하기에 바람직하고 차세대 전자 장치에서 게이트 유전체로서 사용하기에 바람직하다. 커패시터 유전체로서 사용되는 제 1 하이-k 재료는 탄탈룸 산화물 및 알루미늄 산화물 재료였다. 현재, 혼합된 하프늄 알루미늄 산화물 재료는 DRAM 생산에서 커패시터 유전체로서 구현되고 있다. 마찬가지로, 하프늄계 유전체는 게이트 유전체로서 생산에 들어가, 현재의 실리콘 산화물 및 실리콘 산질화물 재료를 대체할 것으로 기대된다.
하이-k 유전체를 증착하는 가장 일반적인 방법은 물리적 기상 증착(PVD), 화학적 기상 증착(CVD) 및 원자층 증착(ALD)을 포함한다. PVD 및 CVD 방법에 더하여 ALD를 사용하는 이점은 박막의 두께 제어 향상, 웨이퍼 전반의 균일성 향상 및 고 종횡비 구조상의 등각성 향상을 포함한다.
원자층 증착 프로세스는 기판을 포함하는 프로세스 챔버에의 반응성 증기 스트림의 개별 펄스를 포함하는데, 여기서 펄스는 퍼징 또는 배기 중 어느 하나에 의해 분리될 수 있다. 각 펄스 동안, 자기-제한 화학 흡착층은 웨이퍼의 표면상에 형성되는데, 이 층은 다음 펄스에 포함되는 구성요소와 반응한다. 각 펄스 사이의 퍼징 또는 배기는 반응성 증기 스트림의 가스상 혼합을 감소 또는 제거하는데 사용된다. 전형적인 ALD 프로세스는 사이클당 양호하게 제어된 부 단층(sub-monolayer) 또는 근접 단층 성장을 초래한다.
ALD의 한가지 대표적인 케이스는 트리메틸알루미늄 및 물로부터의 알루미늄(Al) 산화물의 증착이다. 이 ALD 프로세스에서, 트리메틸알루미늄의 펄스는 가열된 기판의 표면상의 하이드록실기와 반응하여 단층 미만으로 자기-제한되는 메틸-알루미늄 부분의 화학 흡착층을 형성한다. 그리고 나서 반응 챔버는 미반응 트리메틸알루미늄뿐만 아니라 임의의 기상 반응 부산물을 제거하도록 퍼징 또는 배기된다. 다음으로 표면 알루미늄-메틸 결합과 반응하여 하이드록실화 표면을 재생하는 수증기의 펄스가 도입된다. 위의 증착 사이클을 반복함으로써 사이클당 약 1 옹스트롬(10-10m)의 층간 성장을 실현하는 것이 가능하다. 상이한 반응성 전구체 및 가스를 선택함으로써, ALD 프로세스를 사용하여 많은 상이한 유형의 막을 증착하는 것이 가능하다.
현재 평가 단계에 있는 하이-k 유전체 재료는 여러 가지 문제점들이 있다. 직면한 몇가지 문제점들은 어닐 중의 막 결정화, 증착 및 부가적인 프로세싱 중의 계면층의 성장, 계면 트랩(interface trap)의 고밀도화, 채널 이동성의 감소, 폴리-실리콘 게이트와의 반응, 및 금속 게이트에 대한 페르미 준위 피닝(Fermi level pinning)을 포함한다. 최근에 제안되고 있는 이들 효과를 완화시키는 한가지 전략은 하이-k 유전체로서 혼합된 지르코늄(Zr) 및 하프늄(Hf) 산화물을 사용하는 것이다. 이들 유전체의 몇가지 이득은 순수한 Zr 산화물 또는 순수한 Hf 산화물과 비교하여 열 안정성의 증가 및 전기적 특성의 향상을 포함한다. 이들 향상에 기여하는 모든 요소가 알려져 있지 않지만, 혼합된 Zr 및 Hf 산화물의 사용은 지르코늄 및 하프늄의 유사한 화학적 특성, 및 지르코늄 및 하프늄 산화물의 무한 혼합성에 의해 용이해진다. 현재의 하이-k 유전체 재료가 직면한 다른 문제들은 진보된 반도체 장치에 대한 소기의 값에 비해서 너무 낮은 유전율을 포함한다. 또한, 유전율은 하이-k 유전체 재료와 하부 기판 사이의 계면층의 존재에 의해 더욱 감소될 수 있다.
따라서, 커패시터 및 트랜지스터와 같은 반도체 장치에서 게이트 유전체로서 사용되는 하이-k 유전체 재료를 형성하는 부가적인 개발에 대한 필요성이 있다.
본 발명의 실시예는 ALD 및 플라스마 향상 ALD(PEALD)에 의해 혼합 희토류 산화물 및 알루미네이트 막을 증착하는 방법을 제공한다. 혼합 희토류 산화물 및 알루미네이트 막은 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb를 포함한 복수의 상이한 희토류 금속 원소의 혼합물을 포함한다. 예를 들면, 혼합 희토류 산화물 및 알루미네이트 막은 커패시터 및 게이트 유전체 모두로서 사용하기 위한 차세대의 하이-k 유전체 재료를 포함하는 향상된 반도체 애플리케이션에 사용될 수 있다.
본 발명의 일 실시예에 따라, 기판을 프로세스 챔버에 배치하고, 소기의 두께를 갖는 혼합 희토류 산화물막 또는 혼합 희토류 알루미네이트막을 증착하도록 기판을 가스 펄스 시퀀스에 노출시킴으로써 혼합 희토류 산화물막 또는 혼합 희토류 알루미네이트막을 형성하는 방법을 제공한다.
가스 펄스 시퀀스는, a) 순차적으로 먼저, 기판을 제 1 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 기판을 산소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와, b) 순차적으로 먼저, 기판을 제 2 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 기판을 산소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계로서, 제 1 및 제 2 희토류 전구체 각각은 상기 희토류 금속 원소를 포함하는 단계와, 선택 사항으로서, c) 순차적으로 먼저, 기판을 알루미늄 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 기판을 산소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계를 임의의 순서로 포함한다. 이 방법은, a), b) 및 선택 사항인 c) 각각은 임의의 소기의 횟수로 선택적으로 반복되고, a), b) 및 선택 사항인 c)를 포함하는 가스 펄스 시퀀스는 소기의 두께를 달성하도록 임의의 소기의 횟수로 임의 순서로 선택적으로 반복되는 것을 더 포함한다. 본 발명의 한 실시예에 따라 이 방법은 적어도 하나의 노출 단계 후에 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함한다.
본 발명의 또 다른 실시예에 따라, a) 기판을 프로세스 챔버에 배치하는 단계와, b) 기판을 상이한 희토류 금속 원소를 각각 함유하는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계와, c) 기판을 산소-함유 가스의 가스 펄스에 노출시키는 단계와, d) 소기의 두께를 갖는 혼합 희토류 산화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계에 의해 혼합 희토류 산화물막의 형성 방법이 제공된다. 본 발명의 한 실시예에 따라 이 방법은 적어도 하나의 노출 단계 후에 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함한다. 본 발명의 또 다른 실시예에 따라, 단계 b)의 가스 펄스는 알루미늄 전구체를 포함하여, 혼합 희토류 알루미네이트막이 형성된다. 다른 실시예에 따라, 단계 b) 및 c)가 수행된 후, 기판은 알루미늄 전구체에의 노출에 뒤이어 산소-함유 가스에의 노출을 포함하는 또 다른 펄스 시퀀스에 노출되어, 혼합 희토류 알루미네이트막이 형성된다.
도 1a는 본 발명의 실시예에 따른 ALD 시스템의 개략도.
도 1b는 본 발명의 실시예에 따른 PEALD 시스템의 개략도.
도 2a - 2f는 본 발명의 실시예에 따른 혼합 희토류계 막을 형성하는 펄스 시퀀스를 개략적으로 나타내는 도면.
도 3a - 3d는 본 발명의 실시예에 따른 혼합 희토류 산화물막을 형성하는 프로세스 흐름도.
도 4a - 4b는 본 발명의 실시예에 따른 혼합 희토류 질화물막을 형성하는 프로세스 흐름도.
도 5a - 5b는 본 발명의 실시예에 따른 혼합 희토류 산질화물막을 형성하는 프로세스 흐름도.
도 6a - 6b는 본 발명의 실시예에 따른 혼합 희토류 알루미네이트막을 형성하는 프로세스 흐름도.
도 7a - 7b는 본 발명의 실시예에 따른 혼합 희토류 알루미늄 질화물막을 형성하는 프로세스 흐름도.
도 8a - 8b는 본 발명의 실시예에 따른 혼합 희토류 알루미늄 산질화물막을 형성하는 프로세스 흐름도.
도 9a 및 9b는 본 발명의 실시예에 따른 혼합 희토류계 재료를 함유하는 반도체 장치의 개략적인 단면도.
혼합된 Zr/Hf 산화물계 재료의 경우에서와 같이, 혼합 희토류계 재료는 반도체 제품에서 장래의 하이-k(high-k) 제품에 유익한 열적 및 전기적 특성을 제공할 수도 있다. 본원에서 사용되는 바와 같이, 혼합 희토류계 재료는 복수의, 즉 적어도 2개의 상이한 희토류 금속 원소를 함유하는 재료를 칭한다. 희토류 원소들이 화학적으로 유사하고 산화물, 질화물, 산질화물, 알루미네이트, 알루미늄 질화물, 및 알루미늄 산질화물로서 사실상 무한하게 혼합할 수 있기 때문에, 이들은 다른 희토류 원소와 함께 매우 안정한 고용체(solid solution)를 형성하는 것으로 기대된다. 복수의 희토류 금속 원소를 포함한 혼합 희토류계 재료를 함유하는 막의 기대 이득은 실리콘 또는 금속 게이트 전극 재료와 접촉한 상태에서의 열 안정성의 증가, 결정 온도의 증가, 단일 희토류 원소를 함유하는 희토류계 재료와 비교되는 유전율의 증가, 계면 포획 밀도의 감소, 임계 전압 시프트 및 페르미 준위 피닝의 감소, 및 프로세싱 특성의 향상을 포함한다. 예를 들면, 혼합 희토류계 막은 커패시터와 트랜지스터 게이트 유전체 모두로서 사용하기 위한 차세대 하이-k 유전체 재료를 포함하는 제품에 사용될 수 있다.
알루미네이트 구조를 형성하도록 알루미늄을 혼합 희토류 산화물계 재료에 도입하는 것은 실리콘과 접촉한 상태에서의 열 안정성의 증가뿐만 아니라 누설을 감소시키기 위한 더 큰 밴드 갭을 제공한다. 다른 이득은 단 하나의 희토류 금속 원소만을 함유하는 희토류 알루미네이트보다 높은 유전율의 증가를 포함한다. 2개의 희토류 금속 이온(예컨대, 루테튬(Lu) 알루미네이트와 혼합된 란탄(La)) 간의 사이즈 부정합으로부터 실현될 수 있는 분극성 증가로 인해 훨씬 더 높은 유전율을 제공할 수 있는 상이한 원자 사이즈의 희토류 원소를 사용한 혼합 희토류 알루미네이트막의 조성 범위가 존재할 수 있다고 예상된다.
게이트 유전체 재료에의 질소 도입은 몇가지 이점을 제공할 수 있다. 몇몇 경우에서, 향상된 전기적 특성이 보고되어 왔다. 또한, 질소 도핑된 유전체는 순수 산화물 재료보다도 더 높은 온도로 비정질성을 유지하는 경향이 있다. 질소 도입은 재료의 유전율을 약간 증가시키고 재료를 통한 도펀트(dopant) 확산을 억제하는 부가적인 이득이 있다. 최종적으로, 질소 도입은 막 증착 및 후속 처리 단계 중에 계면층 성장을 억제하는데 도움을 줄 수 있다.
본 발명의 실시예들은 미래의 DRAM 및 논리 세대에서 구상되는 높은 종횡비 이상으로 우수한 두께 제어로 균일하게 증착될 수 있는 혼합 희토류계 막을 형성하는 방법을 제공한다. 하이-k 막을 증착하는 CVD 및 PVD 방법이 증착율 이상으로 요구되는 등각성(conformality) 및 원자층 제어를 제공하는 것으로 기대되지 않기 때문에, 하이-k 재료를 증착하는 ALD 및 PEALD 방법은 차세대 집적 회로의 사용에 필요로 될 것이다.
다음의 설명에서, 본 발명의 완전한 이해를 돕고 또한 제한이 아닌 설명의 목적으로, 증착 시스템의 특정한 기하학 및 다양한 구성요소의 설명과 같은 구체적인 상세를 설명한다. 그렇지만, 본 발명이 이들 구체적인 상세에서 벗어난 다른 실시예에서 실행될 수 있다는 것을 이해해야 한다.
이제부터 도면을 참조하면, 도 1a는 본 발명의 실시예에 따라 혼합 희토류계 막을 기판 상에 증착하는 ALD 시스템(1)을 나타낸다. ALD 시스템(1)은 혼합 희토류계 막이 형성되는 기판(25)을 지지하도록 구성된 기판 홀더(20)를 갖는 프로세스 챔버(10)를 포함한다. 프로세스 챔버(10)는 제 1 프로세스 재료 공급 시스템(40), 제 2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소-함유 가스 공급 시스템(46), 질소-함유 가스 공급 시스템(48), 및 알루미늄-함유 가스 공급 시스템(50)에 연결된 상부 조립체(30)(예컨대, 샤워헤드)를 더 포함한다. 또한, ALD 시스템(1)은 기판 홀더(20)에 연결되어 기판(25)의 온도를 증가 및 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함한다. 게다가, ALD 시스템(1)은 프로세스 챔버(10), 기판 홀더(20), 프로세스 챔버(10) 내로 프로세스 가스를 도입하도록 구성된 조립체(30), 제 1 프로세스 재료 공급 시스템(40), 제 2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소-함유 가스 공급 시스템(46), 질소-함유 가스 공급 시스템(48), 알루미늄-함유 가스 공급 시스템(50), 및 기판 온도 제어 시스템(60)에 연결될 수 있는 컨트롤러(70)를 포함한다.
이와 다르게, 또는 부가적으로 컨트롤러(70)는 하나 이상의 부가적인 컨트롤러/컴퓨터(도시되지 않음)에 연결될 수 있고, 컨트롤러(70)는 부가적인 컨트롤러/컴퓨터로부터 설치 및/또는 구성 정보를 입수할 수 있다.
도 1a에서, 개개의 프로세싱 요소(10, 20, 30, 40, 42, 44, 46, 48, 50, 60)가 도시되어 있지만, 이는 본 발명에 필수적인 것은 아니다. ALD 시스템(1)은 독립적인 프로세싱 요소에 부가하여 연관된 임의 개수의 컨트롤러를 갖는 임의 개수의 프로세싱 요소를 포함할 수 있다.
컨트롤러(70)는 임의 개수의 프로세싱 요소(10, 20, 30, 40, 42, 44, 46, 48, 50, 60)를 구성하는데 사용될 수 있고, 컨트롤러(70)는 프로세싱 요소로부터 데이터를 수집, 제공, 처리, 저장, 및 표시할 수 있다. 컨트롤러(70)는 하나 이상의 프로세싱 요소를 제어하는 많은 애플리케이션을 포함할 수 있다. 예를 들면, 컨트롤러(70)는 사용자가 하나 이상의 프로세싱 요소를 감시 및/또는 제어하는 것을 가능하게 하는 인터페이스를 사용하기 쉽게 제공할 수 있는 그래픽 사용자 인터페이스(GUI) 구성요소(도시되지 않음)를 포함할 수 있다.
계속하여 도 1a에 있어서, ALD 시스템(1)은 200 mm 기판, 300 mm 기판, 또는 더 큰 사이즈의 기판을 처리하도록 구성될 수 있다. 사실상, 당업자가 이해하고 있는 바와 같이 증착 시스템이 사이즈에 관계없이 기판, 웨이퍼, 또는 LCD를 처리하도록 구성될 수 있다고 예상된다. 따라서, 본 발명의 형태가 반도체 기판의 처리와 연관하여 설명되지만, 본 발명은 이것에만 제한되지 않는다. 선택적으로, 다중 기판을 처리할 수 있는 배치 ALD 시스템은 본 발명의 실시예에서 설명되는 혼합 희토류계 막을 증착하는데 동시에 이용될 수 있다.
제 1 프로세스 재료 공급 시스템(40) 및 제 2 프로세스 재료 공급 시스템(42)은 제 1 및 제 2 희토류 전구체(precursor)를 프로세스 챔버(10)에 교대로 또는 동시에 도입하도록 구성되는데, 이 챔버에서 제 1 및 제 2 희토류 전구체는 상이한 희토류 금속 원소를 함유한다. 제 1 및 제 2 희토류 전구체의 도입의 교번은 주기적일 수 있거나, 제 1 및 제 2 재료의 도입 간의 가변 시간 주기에 대하여 비주기적일 수 있다. 게다가, 제 1 프로세스 재료 공급 시스템(40) 및 제 2 프로세스 재료 공급 시스템(42) 각각은 복수의 희토류 전구체를 프로세스 챔버(10)에 교대로 또는 동시에 도입하도록 구성될 수 있는데, 이 챔버에서 복수의 희토류 전구체는 상이한 희토류 금속 원소를 함유한다.
본 발명의 실시예에 따라, 희토류 전구체를 프로세스 챔버(10)에 도입하는데 몇가지 방법을 이용할 수 있다. 한가지 방법은 개별적 버블러(bubbler) 또는 직접 액체 주입 시스템의 사용, 또는 이들의 조합을 통하여 희토류 전구체를 기화시키고 나서, 프로세스 챔버(10) 내로의 도입중에 또는 그 전에 기체 상태로 혼합하는 것을 포함한다. 각 전구체의 기화율을 개별적으로 제어함으로써, 소기의 희토류 금속 원소 화학양론(stoichiometry)이 증착된 막 내에서 얻어질 수 있다. 각 희토류 전구체를 전달하는 또 다른 방법은 2개 이상의 상이한 액체원을 개별적으로 제어하는 것을 포함하는데, 이 액체원은 일반적인 기화기에 들어가기 전에 혼합된다. 이 방법은 전구체가 용액 또는 액체 형태로 양립할 수 있고 이 전구체가 유사한 기화 특성을 갖는 경우에 이용될 수 있다. 그 밖의 방법은 버블러 내부에 상용성이 있는 혼합된 고체 또는 액체 전구체의 사용을 포함한다. 액체원 전구체는 양립성 용제에 용해되는 정제 액체 희토류 전구체, 또는 고체나 액체 희토류 전구체를 포함할 수 있다. 가능한 양립성 용제는 이온성 액체, 탄화수소(지방족, 올레핀, 및 방향족), 아민, 에스테르, 글림, 크라운 에테르, 에테르 및 폴리에테르를 포함하지만, 이에 제한되지 않는다. 몇몇 경우에 하나 이상의 양립성 액체 전구체 내에 하나 이상의 양립성 고체 전구체를 용해하는 것이 가능할 수 있다. 복수의 상이한 희토류계 원소가 증착된 막 내에 복수의 희토류 전구체를 포함시켜 이 스킴에 포함될 수 있다는 것 당업자에게 명백하다. 가스 펄스 내의 다양한 전구체의 상대 농도 수준을 제어함으로써, 소기의 화학양론으로 혼합 희토류계 막을 증착하는 것이 가능하다는 것은 당업자에게 또한 명백하다.
본 발명의 실시예들은 다양한 상이한 희토류 전구체를 이용할 수 있다. 예를 들면, 많은 희토류 전구체는 다음의 식을 갖는다:
ML1L2L3Dx
식 중 M은 이트륨(Y), 루테튬(Lu), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 및 이테르븀(Yb)으로부터 선택된 희토류 금속 원소이다. L1,L2,L3은 개개의 음이온성 리간드이고, D는 중성 도너(neutral donor) 리간드이며, 여기서 x는 0, 1, 2, 또는 3일 수 있다. 각 L1,L2,L3 리간드는 알콕시드, 할라이드, 아릴옥사이드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트, β-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트로의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글림, 및 니트릴의 그룹으로부터 개별적으로 선택될 수 있다.
L 그룹 알콕시드의 예는 테르트-부톡시드, 이소-프로폭시드, 에톡시드, 1-메톡시-2,2-디메틸-2-프로피오네이트(mmp), 1-디메틸아미노-2,2'-디메틸-프로피오네이트, 아밀옥사이드, 및 네오-펜톡시드를 포함한다. 할라이드의 예는 플루오라이드, 클로라이드, 이오다이드, 및 브로마이드를 포함한다. 아릴옥사이드의 예는 페녹사이드 및 2,4,6-트리메틸페녹사이드를 포함한다. 아미드의 예는 비스(트리메틸실릴)아미드 디-테르트-부틸아미드, 및 2,2,6,6-테트라메틸피페리다이드(TMPD)를 포함한다. 시클레펜타디에닐의 예는 시클로펜타디에닐, 1-메틸시클로펜타디에닐, 1,2,3,4-테트라메틸시클로펜타디에닐, 1-에틸시클로펜타디에닐, 펜타메틸시클로펜타디에닐, 1-이소-프로필시클로펜타디닐, 1-n-프로필시클로펜타디닐, 및 1-n-부틸시클로펜타디에닐을 포함한다. 알킬의 예는 비스(트리메틸실릴)메틸, 트리스(트리메틸실릴)메틸, 및 트리메틸실릴메틸을 포함한다. 실릴의 예는 트리메틸실릴이다. 아미디네이트의 예는 N,N'-디-테르트-부틸아세트아미디네이트, N,N'-디-이소-프로필아세트아미디네이트, N,N'-디-이소프로필-2-테르트-부틸아미디네이트, 및 N,N'-디-테르트-부틸-2-테르트-부틸아미디네이트를 포함한다. β-디케토네이트의 예는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트(THD), 헥사플루오로-2,4-펜탄디오네이트, 및 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3,5-옥탄디오네이트(FOD)를 포함한다. 케토이미네이트의 예는 2-이소-프로필이미노-4-펜타노네이트이다. 실라노에이트의 예는 트리-테르트-부틸실록사이드 및 트리에틸실록사이드를 포함한다. 카르복실레이트의 예는 2-에틸헥사노네이트이다.
D 리간드의 예는 테트라하이드로푸란, 디에틸에테르, 1,2-디메톡시에탄, 디글림, 트리글림, 테트라글림, 12-크라운-6,lO-크라운-4, 피리딘, N-메틸피롤리딘, 트리에틸아민, 트리메틸아민, 아세토니트릴, 및 2,2-디메틸프로피오니트릴을 포함한다.
희토류 전구체의 대표적인 예는 다음과 같다:
Y 전구체: Y(N(SiMe3)2)3, Y(N(iPr)2)3, Y(N(tBu)SiMe3)3, Y(TMPD)3, Cp3Y, (MeCp)3Y, ((nPr)Cp)3Y, ((nBu)Cp)3Y, Y(OCMe2CH2NMe2)3, Y(THD)3, Y[OOCCH(C2H5)C4H9]3, Y(C11H19O12)3CH3(OCH2CH2)3OCH3, Y(CF3COCHCOCF3)3, Y(OOCC10H7)3, Y(OOC10H19)3, 및 Y(O(iPr))3.
La 전구체: La(N(SiMe3)2)3, La(N(iPr)2)3, La(N(tBu)SiMe3)3, La(TMPD)3, ((iPr)Cp)3La, Cp3La, Cp3La(NCCH3)2, La(Me2NC2H4Cp)3, La(THD)3, La[OOCCH(C2H5)C4H9]3, La(C11H19O2)3CH3(OCH2CH2)3OCH3, La(C11H19O2)3CH3(OCH2CH2)4OCH3, La(O(iPr))3, La(OEt)3, La(acac)3, La(((tBu)2N)2CMe)3, La(((iPr)2N)2CMe)3, La(((tBu)2N)2C(tBu))3, La(((iPr)2N)2C(tBu))3, 및 La(FOD)3.
Ce 전구체: Ce(N(SiMe3)2)3, Ce(N(iPr)2)3, Ce(N(tBu)SiMe3)3, Ce(TMPD)3, Ce(FOD)3, (iPr)Cp)3Ce, Cp3Ce, Ce(Me4Cp)3, Ce(OCMe2CH2NMe2)3, Ce(THD)3, Ce[OOCCH(C2H5)C4H9]3, Ce(C11H19O2)3CH3(OCH2CH2)3OCH3, Ce(C11H19O2)3CH3(OCH2CH2)4OCH3, Ce(O(iPr))3, 및 Ce(acac)3.
Pr 전구체: Pr(N(SiMe3)2)3, ((iPr)Cp)3Pr, Cp3Pr, Pr(THD)3, Pr(FOD)3, (C5Me4H)3Pr, Pr[OOCCH(C2H5)C4H9]3, Pr(C11H19O2)3CH3(OCH2CH2)3OCH3, Pr(O(iPr))3, Pr(acac)3, Pr(hfac)3, Pr(((tBu)2N)2CMe)3, Pr(((iPr)2N)2CMe)3, Pr(((tBu)2N)2C(tBu))3, 및 Pr(((iPr)2N)2C(tBu))3.
Nd 전구체: Nd(N(SiMe3)2)3, Nd(N(iPr)2)3, ((iPr)Cp)3Nd, Cp3Nd, (C5Me4H)3Nd, Nd(THD)3, Nd[OOCCH(C2H5)C4H9]3, Nd(O(iPr))3, Nd(acac)3, Nd(hfac)3, Nd(F3CC(O)CHC(O)CH3)3, 및 Nd(FOD)3.
Sm 전구체: Sm(N(SiMe3)2)3, ((iPr)Cp)3Sm, Cp3Sm, Sm(THD)3, Sm[OOCCH(C2H5)C4H9]3, Sm(O(iPr))3, Sm(acac)3, 및 (C5Me5)2Sm.
Eu 전구체: Eu(N(SiMe3)2)3, ((iPr)Cp)3Eu, Cp3Eu, (Me4Cp)3Eu, Eu(THD)3, Eu[OOCCH(C2H5)C4H9]3, Eu(O(iPr))3, Eu(acac)3, 및 (C5Me5)2Eu.
Gd 전구체: Gd(N(SiMe3)2)3, ((iPr)Cp)3Gd, Cp3Gd, Gd(THD)3, Gd[OOCCH(C2H5)C4H9]3, Gd(O(iPr))3, 및 Gd(acac)3.
Tb 전구체: Tb(N(SiMe3)2)3, ((iPr)Cp)3Tb, Cp3Tb, Tb(THD)3, Tb[OOCCH(C2H5)C4H9]3, Tb(O(iPr))3, 및 Tb(acac)3.
Dy 전구체: Dy(N(SiMe3)2)3, ((iPr)Cp)3Dy, Cp3Dy, Dy(THD)3, Dy[OOCCH(C2H5)C4H9]3, Dy(O(iPr))3, Dy(O2C(CH2)6CH3)3, 및 Dy(acac)3.
Ho 전구체: Ho(N(SiMe3)2)3, ((iPr)Cp)3Ho, Cp3Ho, Ho(THD)3, Ho[OOCCH(C2H5)C4H9]3, Ho(O(iPr))3, 및 Ho(acac)3.
Er 전구체: Er(N(SiMe3)2)3, ((iPr)Cp)3Er, ((nBu)Cp)3Er, Cp3Er, Er(THD)3, Er[OOCCH(C2H5)C4H9]3, Er(O(iPr))3, 및 Er(acac)3.
Tm 전구체: Tm(N(SiMe3)2)3, ((iPr)Cp)3Tm, Cp3Tm, Tm(THD)3, Tm[OOCCH(C2H5)C4H9]3, Tm(0(iPr))3, 및 Tm(acac)3.
Yb 전구체: Yb(N(SiMe3)2)3, Yb(N(iPr)2)3, ((iPr)Cp)3Yb, Cp3Yb, Yb(THD)3, Yb[OOCCH(C2H5)C4H9]3, Yb(O(iPr))3, Yb(acac)3, (C5Me5)2Yb, Yb(hfac)3, 및 Yb(FOD)3.
Lu 전구체: Lu(N(SiMe3)2)3, ((iPr)Cp)3Lu, Cp3Lu, Lu(THD)3, Lu[OOCCH(C2H5)C4H9]3, Lu(0(iPr))3, 및 Lu(acac)3.
상기의 전구체뿐만 아니라, 아래에서 설명하는 전구체에서, 다음의 일반적인 약자가 사용된다: Si: 실리콘; Me: 메틸; Et: 에틸; iPr: 이소프로필; nPr: n-프로필; Bu: 부틸; nBu: n-부틸; sBu: sec-부틸; iBu: 이소-부틸; tBu: 테르트-부틸; Cp: 시클로펜타디에닐; THD: 2,2,6,6-테트라메틸-3,5-헵탄디오네이트; TMPD: 2,2,6,6-테트라메틸피페리다이드; acac: 아세틸아세토네이트; hfac: 헥사플루오로아세틸아세토네이트; 및 FOD: 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3,5-옥탄디오네이트.
계속하여 도 1a에 있어서, 산소-함유 가스 공급 시스템(46)은 산소-함유 가스를 프로세스 챔버(10)에 도입하도록 구성된다. 산소-함유 가스는 O2, H2O, 또는 H2O2, 또는 이의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 마찬가지로, 질소-함유 가스 공급 시스템(48)은 질소-함유 가스를 프로세스 챔버(10)에 도입하도록 구성된다. 질소-함유 가스는 NH3, N2H4, 또는 이의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 본 발명의 일 실시예에 따라, 산소-함유 가스 또는 질소-함유 가스는 NO, NO2, 또는 N2O, 또는 이의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
본 발명의 실시예들은 알루미늄을 혼합 희토류계 막 내로 도입하기 위한 다양한 알루미늄 전구체를 이용할 수 있다. 예를 들면, 많은 알루미늄 전구체는 다음의 식을 갖는다:
AlL1L2L3Dx
식 중 L1,L2,L3은 개개의 음이온성 리간드이고, D는 중성 도너(neutral donor) 리간드이며, 여기서 x는 0, 1, 또는 2일 수 있다. 각 L1,L2,L3 리간드는 알콕시드, 할라이드, 아릴옥사이드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트, β-디케토네이트, 케토이미네이트, 실라노에이트, 및 카르복실레이트로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 푸란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글림, 및 니트릴의 그룹으로부터 선택될 수 있다.
알루미늄 전구체의 다른 예는 다음을 포함한다: Al2Me6, Al2Et6, [Al(O(sBu))3]4, Al(CH3COCHCOCH3)3, AlBr3, AlI3, Al(O(iPr))3, [Al(NMe2)3]2, Al(iBu)2Cl, Al(iBu)3, Al(iBu)2H, AlEt2Cl, Et3Al2(O(sBu))3, 및 Al(THD)3.
계속하여 도 1a에 있어서, 퍼지 가스 공급 시스템(44)은 퍼지 가스를 프로세스 챔버(10)에 도입하도록 구성된다. 예를 들면, 퍼지 가스의 도입은 희토류 전구체와 산소-함유 가스, 질소-함유 가스, 또는 알루미늄 전구체의 펄스를 프로세스 챔버(10)에 도입하는 중에 발생할 수 있다. 퍼지 가스는 희가스(즉, He, Ne, Ar, Kr, Xe), 질소(N2), 또는 수소(H2)와 같은 불활성 가스를 포함할 수 있다.
게다가, ALD 시스템(1)은 기판 홀더(20)에 연결되어 기판(25)의 온도를 상승 및 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함한다. 기판 온도 제어 시스템(60)은, 기판 홀더(20)로부터 열을 수용하여 열 교환기 시스템(도시되지 않음)에 열을 전달하거나, 또는 가열시 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각제 플로(coolant flow)를 포함하는 냉각 시스템과 같은 온도 제어 요소를 포함한다. 또한, 온도 제어 요소는 저항성 가열 요소와 같은 가열/냉각 요소, 또는 열전(thermo-electric) 가열기/냉각기를 포함할 수 있는데, 이는 기판 홀더(20)뿐만 아니라, 프로세스 챔버(10)의 챔버 벽 및 ALD 시스템(1) 내의 임의의 다른 구성요소에 포함될 수 있다. 예를 들면, 기판 온도 제어 시스템(60)은 기판 온도를 실내 온도에서 대략 350℃ - 550℃로 상승 및 제어하도록 구성될 수 있다. 한편, 예를 들면, 기판 온도는 대략 150℃ - 350℃의 범위일 수 있다. 그렇지만, 기판의 온도가 특정한 혼합 희토류계 재료를 주어진 기판의 표면 상에 증착하기 위한 소기의 온도에 기초하여 선택된다는 것을 이해해야 한다.
기판(25)과 기판 홀더(20) 간의 열 전달을 향상시키기 위해서, 기판 홀더(20)는 기판(25)을 기판 홀더(20)의 상면에 부착시키도록 기계적 클램핑 시스템, 또는 정전 클램핑 시스템과 같은 전기적 클램핑 시스템을 포함할 수 있다. 게다가, 기판 홀더(20)는 기판(25)과 기판 홀더(20) 간의 가스-갭 열 전도도를 향상시키기 위해서 가스를 기판(25)의 후면에 도입하도록 구성된 기판 후면 가스 전달 시스템을 더 포함할 수 있다. 이러한 시스템은 기판의 온도 제어가 상승 또는 감소된 온도에서 요구되는 경우에 이용될 수 있다. 예를 들면, 기판 후면 가스 시스템은 2영역 가스 배포 시스템을 포함할 수 있는데, 여기서 헬륨 가스 갭 압력은 기판(25)의 중앙과 에지 사이에 독립적으로 변화될 수 있다.
또한, 프로세스 챔버(10)는 덕트(38)를 통하여 진공 펌핑 시스템(34) 및 밸브(36)를 포함하는 압력 제어 시스템(32)에 더 연결되는데, 여기서 압력 제어 시스템(32)은 기판(25) 상에 박막을 형성하기에 적합하고, 제 1 및 제 2 프로세스 재료의 사용에 적합한 압력으로 프로세스 챔버(10)를 제어 가능하게 배출하도록 구성된다. 진공 펌핑 시스템(34)은 초당 약 5000 리터(그 이상)까지 펌핑 속도를 낼 수 있는 터보 분자 진공 펌프(TMP) 또는 크라이오 펌프(cryogenic pump)를 포함할 수 있고 밸브(36)는 챔버 압력을 감압하는 게이트 밸브를 포함할 수 있다. 또한, 챔버 압력을 감시하는 장치(도시되지 않음)는 프로세스 챔버(10)에 연결될 수 있다. 압력 측정 장치는 예를 들면 MKS Instruments, Inc.(Andover, MA)로부터 상업적으로 입수 가능한 Type 628B Baratron 절대 용량 마노미터(absolute capacitance manometer)일 수 있다. 예를 들면, 압력 제어 시스템(32)은 혼합 희토류계 재료를 증착하는 동안 약 0.1 Torr과 약 100 Torr 사이에서 프로세스 챔버 압력을 제어하도록 구성될 수 있다.
제 1 재료 공급 시스템(40), 제 2 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소-함유 가스 공급 시스템(46), 질소-함유 가스 공급 시스템(48), 알루미늄-함유 가스 공급 시스템(50)은 하나 이상의 압력 제어 장치, 하나 이상의 플로 제어 장치, 하나 이상의 필터, 하나 이상의 밸브, 및/또는 하나 이상의 플로 센서를 포함할 수 있다. 플로 제어 장치는 공기 구동 밸브, 전자-기계식(솔레노이드) 밸브, 및/또는 고속 펄스 가스 주입 밸브를 포함할 수 있다. 본 발명의 실시예에 따라, 가스는 프로세스 챔버(10) 내로 순차적으로 그리고 교대로 펄싱될 수 있는데, 여기서 각 가스 펄스의 길이는 예를 들면 약 0.1초와 100초 사이일 수 있다. 한편, 각 가스 펄스의 길이는 약 1초와 10초 사이일 수 있다. 희토류 전구체에 대한 예시적인 가스 펄스 길이는 0.3초와 3초 사이, 예를 들면 1초일 수 있다. 알루미늄 전구체에 대한 예시적인 가스 펄스 길이는 0.1초와 3초 사이, 예를 들면 0.3초일 수 있다. 산소- 및 질소-함유 가스에 대한 예시적인 가스 펄스 길이는 0.3초와 3초 사이, 예를 들면 1초일 수 있다. 예시적인 퍼지 가스 펄스는 1초와 20초 사이, 예를 들면 3초일 수 있다. 예시적인 펄스 가스 주입 시스템은 계류중인 미국특허출원공개 제2004/0123803호에서 보다 상세하게 설명되어 있다.
계속해서 도 1a에 있어서, 컨트롤러(70)는 ALD 시스템(1)에의 입력을 전달 및 활성화할 뿐만 아니라 ALD 시스템(1)으로부터의 출력을 감시하는데 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 마이크로프로세서, 및 메모리를 포함할 수 있다. 또한, 컨트롤러(70)는 프로세스 챔버(10), 기판 홀더(20), 상부 조립체(30), 제 1 프로세스 재료 공급 시스템(40), 제 2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 산소-함유 가스 공급 시스템(46), 질소-함유 가스 공급 시스템(48), 알루미늄-함유 가스 공급 시스템(50), 기판 온도 제어 시스템(60), 기판 온도 컨트롤러(70), 및 압력 제어 시스템(32)에 연결될 수 있고 이들과 정보를 교환할 수 있다. 예를 들면, 메모리에 저장된 프로그램은 증착 프로세스를 수행하기 위해서 프로세스 방법에 따라 증착 시스템(1)의 전술한 구성요소에의 입력을 활성화하는데 이용될 수 있다. 컨트롤러(70)의 일례는 텍사스주 오스틴시의 Dell Corporation으로부터 입수 가능한 DELL PRECISION WORKSTATION 610™이다.
그렇지만, 컨트롤러(70)는 메모리에 들어 있는 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반 프로세싱 단계의 일부 또는 모두를 수행하는 범용 컴퓨터 시스템으로서 구현될 수 있다. 이러한 명령들은 하드디스크 또는 탈착식 미디어 드라이브와 같은 또 다른 컴퓨터 판독 가능 매체로부터 컨트롤러 메모리 내로 판독될 수 있다. 다중-프로세싱 장치 내의 하나 이상의 프로세서는 또한 주 메모리에 들어 있는 명령 시퀀스를 실행하도록 컨트롤러 마이크로프로세서로서 이용될 수 있다. 변형 실시예에서, 하드-와이어드(hard-wired) 회로는 소프트웨어 명령 대신에 또는 이와 조합하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정 조합에 제한되지 않는다.
컨트롤러(70)는 본 발명의 교시에 따라 프로그램된 명령을 유지하고 데이터 구조, 테이블, 리코드, 또는 본 발명을 구현하는데 필수적일 수 있는 기타 다른 데이터를 담고 있는 컨트롤러 메모리와 같은 적어도 하나의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능 매체의 예는 콤팩트 디스크, 하드디스크, 플로피 디스크, 테이프, 자기 광학 디스크, PROM(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 콤팩트 디스크(예컨대, CD-ROM), 또는 임의의 다른 광학 매체, 펀치 카드, 페이퍼 테이프, 또는 홀(hole)의 패턴을 갖는 기타 다른 물리적 매체, 반송파(아래에서 설명함), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체이다.
컴퓨터 판독 가능 매체 중 어느 하나 또는 이의 조합에 저장되어, 컨트롤러(70)를 제어하고, 본 발명을 구현하는 장치(들)을 구동하며, 및/또는 컨트롤러가 인간 사용자와 상호 작용하는 것을 가능하게 하는 소프트웨어가 있다. 이러한 소프트웨어는 장치 드라이버, 운영 체제, 개발 툴, 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이에 제한되지 않는다. 이러한 컴퓨터 판독 가능 매체는 본 발명의 구현시 수행되는 프로세싱의 모두 또는 일부(프로세싱이 배포되는 경우)를 수행하는 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
컴퓨터 코드 장치는 스크립트, 해석 가능한 프로그램, 동적 링크 라이브러리(DLL), Java 클래스, 및 완전 실행 가능한 프로그램을 포함하지만 이들에 제한되지 않는 임의의 해석 가능 또는 실행 가능한 코드 메커니즘일 수 있다. 또한, 본 발명의 프로세싱의 부품들은 보다 양호한 성능, 신뢰성, 및/또는 비용을 위해 배포될 수 있다.
본원에서 사용되는 용어 "컴퓨터 판독 가능 매체"는 실행을 위한 컨트롤러(70)의 프로세서에 명령을 제공하는데 참여하는 임의 매체를 지칭한다. 컴퓨터 판독 가능 매체는 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 이에 제한되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들면 하드디스크 또는 탈착식 미디어 드라이브와 같은 광학, 자기 디스크, 및 자기-광학 디스크를 포함한다. 휘발성 매체는 주 메모리와 같은 동적 메모리를 포함한다. 또한, 다양한 형태의 컴퓨터 판독 가능 매체는 컨트롤러의 프로세서에 하나 이상의 명령의 하나 이상의 시퀀스를 이행하는 것에 수반될 수 있다. 예를 들면, 명령은 초기에 원격 컴퓨터의 자기 디스크에 담겨 있을 수 있다. 원격 컴퓨터는 본 발명의 모두 또는 일부를 구현하는 명령을 원격으로 동적 메모리 내로 로딩하여 이 명령을 네트워크 상에서 컨트롤러(70)에 송신할 수 있다.
컨트롤러(70)는 ALD 시스템(1)과 관련하여 국부적으로 배치될 수 있거나, ALD 시스템(1)과 관련하여 원격으로 배치될 수 있다. 예를 들면, 컨트롤러(70)는 직접 접속, 인트라넷, 인터넷 및 무선 접속 중 적어도 하나를 이용하여 ALD 시스템(1)과 데이터 교환할 수 있다. 컨트롤러(70)는 예를 들면 고객 위치(즉, 장치 메이커 등)에서 인트라넷에 연결될 수 있거나, 예를 들면 판매자 위치(즉, 설비 제조업자)에서 인트라넷에 연결될 수 있다. 또한, 예를 들면, 컨트롤러(70)는 인터넷에 연결될 수 있다. 게다가, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)는 직접 접속, 인트라넷, 및 인터넷 중 적어도 하나를 통하여 데이터를 교환하도록 예를 들면 컨트롤러(70)에 액세스할 수 있다. 당업자가 또한 이해하는 바와 같이, 컨트롤러(70)는 무선 접속을 통하여 증착 시스템(1)과 데이터 교환할 수 있다.
도 1b는 본 발명의 실시예에 따라 혼합 희토류계 막을 기판 상에 증착하는 PEALD 시스템(100)을 예시한다. PEALD 시스템(100)은 도 1a에서 설명한 ALD 시스템(1)과 유사하지만, 프로세스 챔버(10) 내의 적어도 일부의 가스 노출 중에 플라스마를 발생시키도록 구성된 플라스마 발생 시스템을 더 포함한다. 이것은 O2, H2O, H2O2, 또는 이의 조합을 포함하는 산소-함유 가스로부터의 오존 및 플라스마 여기 산소의 형성을 허용한다. 마찬가지로, 플라스마 여기 질소는 프로세스 챔버 내에 N2, NH3, 또는 N2H4, 또는 이의 조합을 포함하는 질소 가스로부터 형성될 수 있다. 또한, 플라스마 여기 산소 및 질소는 NO, NO2, 및 N2O, 또는 이의 조합을 포함하는 프로세스 가스로부터 형성될 수 있다. 플라스마 발생 시스템은 프로세스 챔버(10)에 연결되어 프로세스 챔버(10) 내로 도입되는 가스에 전력을 연결하도록 구성된 제 1 전원(52)을 포함한다. 제 1 전원(52)은 가변 전원일 수 있고 무선 주파수(RF) 발생기 및 임피던스 정합 네트워크를 포함할 수 있고, RF 전력이 프로세스 챔버(10)에서 플라스마에 연결되는 전극을 더 포함할 수 있다. 전극은 상부 조립체(31)에 형성될 수 있고, 기판 홀더(20)와 대향하도록 구성될 수 있다. 임피던스 정합 네트워크는 전극 및 플라스마를 포함하는 프로세스 챔버의 입력 임피던스와 정합 네트워크의 출력 임피던스를 정합시킴으로써 RF 발생기로부터 플라스마로의 RF 전력 전송을 최적화하도록 구성될 수 있다. 예를 들면, 임피던스 정합 네트워크는 반사 전력을 감소시킴으로써 프로세스 챔버(10)에서 RF 전력을 플라스마로 전송하는 것을 향상시키는데 도움을 준다. 정합 네트워크 토폴로지(예컨대, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
한편, 제 1 전원(52)은 RF 발생기 및 임피던스 정합 네트워크를 포함할 수 있고, RF 전력이 프로세스 챔버(10)에서 플라스마에 연결되는 유도성 코일과 같은 안테나를 더 포함할 수 있다. 안테나는 예를 들면 유도성으로 연결된 플라스마 소스 또는 헬리콘(helicon) 소스와 같은 나선형 또는 솔레노이드 코일을 포함할 수 있거나, 또는 예를 들면 변압기 연결 플라스마 소스에서와 같이 평면 코일을 포함할 수 있다.
한편, 제 1 전원(52)은 마이크로파 주파수 발생기를 포함할 수 있고, 마이크로파 전력이 프로세스 챔버(10)에서 플라스마에 연결되는 마이크로파 윈도 및 마이크로파 안테나를 더 포함할 수 있다. 마이크로파 전력의 공급은 전자 회전 공명(electron cyclotron resonance; ECR) 기술을 이용하여 달성될 수 있거나, 또는 미국특허 제5,024,716호에서 설명되는 바와 같이, SPA(slotted plane antenna)와 같은 표면파 플라스마 기술을 이용하여 사용될 수 있다.
본 발명의 일 실시예에 따라, PEALD 시스템(100)은 프로세스 챔버(10)에의 가스의 교번 도입의 적어도 일부 시간 동안 (기판 홀더 바이어싱을 통하여) 플라스마를 발생시키거나 발생시키는데 도움을 주도록 구성된 기판 바이어스 발생 시스템을 포함한다. 기판 바이어스 시스템은 프로세스 챔버(10)에 연결되어 기판(25)에 전력을 공급하도록 구성된 기판 전원(54)을 포함할 수 있다. 기판 전원(54)은 RF 발생기 및 임피던스 정합 네트워크을 포함할 수 있고, RF 전력이 기판(25)에 연결되는 전극을 더 포함할 수 있다. 전극은 기판 홀더(20)에 형성될 수 있다. 예를 들면, 기판 홀더(20)는 RF 발생기(도시되지 않음)로부터 임피던스 정합 네트워크(도시되지 않음)를 통하여 RF 전력 송신에 의하여 RF 전압으로 전기적으로 바이어싱될 수 있다. RF 바이어스에 대한 통상의 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있고, 13.56 MHz일 수 있다. 플라스마 프로세싱용 RF 바이어스 시스템은 당업자에게 널리 알려져 있다. 한편, RF 전력은 다중 주파수로 기판 홀더 전극에 인가된다. 플라스마 발생 시스템 및 기판 바이어스 시스템이 개별적인 존재로서 도 1b에 예시되어 있지만, 이들은 사실상 기판 홀더(20)에 연결된 하나 이상의 전원을 포함할 수 있다.
또한, PEALD 시스템(100)은 기판(25)에 노출되는 프로세스 챔버(10) 내로 플라스마 여기 가스를 유입하기 전에 산소-함유 가스, 질소-함유 가스, 또는 이들의 조합을 제공하고 원격으로 이를 플라스마 여기시키는 원격 플라스마 시스템(56)을 포함한다. 원격 플라스마 시스템(56)은 예를 들면 마이크로파 주파수 발생기를 포함할 수 있다. 프로세스 챔버 압력은 약 0.1 Torr와 약 10 Torr 사이, 또는 약 0.2 Torr와 약 3 Torr 사이일 수 있다.
도 2a - 2f는 본 발명의 실시예에 따라 혼합 희토류계 막을 형성하는 펄스 시퀀스를 개략적으로 예시한다. 본 발명의 실시예에 따라, 순차 및 교번 펄스 시퀀스는 혼합 희토류계 막의 상이한 성분(즉, 희토류 금속 원소, 알루미늄, 산소, 및 질소)을 증착하는데 사용된다. ALD 및 PEALD 프로세스가 통상적으로 가스 펄스당 재료의 단층보다 적게 증착하기 때문에, 막의 상이한 성분의 개별 증착 시퀀스를 사용하여 동질의 재료를 형성하는 것이 가능하다. 가스 선택 및 펄스 시퀀스의 조합에 따라, 혼합 희토류 산화물막, 혼합 희토류 질화물막, 혼합 희토류 산질화물막, 혼합 희토류 알루미늄막, 혼합 희토류 알루미늄 질화물막, 및 혼합 희토류 알루미늄 산질화물막을 포함하는 혼합 희토류 재료가 형성될 수 있다.
도 2a는 단계 202에서 제 1 희토류 전구체로부터 제 1 희토류 원소를 증착하는 펄스 시퀀스(200)를 나타낸다. 도 2b는 단계 212에서 제 2 희토류 전구체로부터 제 2 희토류 원소를 증착하는 펄스 시퀀스(210)를 나타낸다. 도 2c는 단계 222에서 복수의 희토류 전구체로부터 복수의 상이한 희토류 원소를 동시에 증착하는 펄스 시퀀스(220)를 나타낸다. 도 2d는 단계 232에서 산소-함유 가스에의 노출로부터 산소를 혼합 희토류계 막에 도입하는 펄스 시퀀스(230)를 나타낸다. 도 2e는 단계 242에서 질소-함유 가스에의 노출로부터 질소를 혼합 희토류계 막에 도입하는 펄스 시퀀스(240)를 나타낸다. 도 2f는 단계 252에서 알루미늄 전구체로부터 알루미늄을 증착하는 펄스 시퀀스(250)를 나타낸다.
도 2a - 2f에 나타낸 실시예에 따라, 펄스 시퀀스(200, 210, 220, 230, 240, 250) 각각은 프로세스 챔버로부터 미반응 가스 또는 부산물을 제거하도록 각 퍼지 또는 배출 단계(204, 214, 224, 234, 244, 254)를 포함할 수 있다. 본 발명의 또 다른 실시예에 따라, 하나 이상의 퍼지 또는 배출 단계(204, 214, 224, 234, 244, 254)가 생략될 수 있다.
본 발명의 실시예에 따라, 도 2a - 2f에 나타낸 펄스 시퀀스의 상이한 조합이 상이한 희토류계 재료를 증착하는데 이용될 수 있다. 아래는 본 발명의 실시예의 교시에 의해 증착될 수 있는 2개의 상이한 희토류 원소를 함유하는 예시적인 희토류계 재료이다. 당업자가 용이하게 이해하는 바와 같이, 다양한 기타 다른 혼합 희토류계 재료(아래에 나타내지 않음)가 증착될 수 있다. 따라서, 본 발명의 실시예는 아래에 나열된 재료에 제한되지 않는다. 예를 들면, 다른 혼합 희토류계 재료는 2개보다 많은 희토류 원소, 예를 들면 3개, 4개, 또는 그 이상을 함유할 수 있다.
혼합 희토류 산화물: LaxLuyOm, YxLuy0m, YxLayOm, NdxLayOm, 및 LaxPryOm.
혼합 희토류 질화물: LaxLuyNn, YxLuyNn, YxLayNn, NdxLayNn, 및 LaxPrxNn.
혼합 희토류 산질화물: LaxLuyOmNn, YxLuy0mNn, YxLay0mNn, NdxLaxOmNn, 및 LaxPryOmNn.
혼합 희토류 알루미늄 산화물: LaxLuyAlaOm, YxLuyAlaOm, YxLayAlaOm, NdxLayAlaOm, 및 LaxPryAlaOm.
혼합 희토류 알루미늄 질화물: LaxLuyAlaNn, YxLuyAlaNn, YxLayAlaNn, NdxLayAlaNn, 및 LaxPryAlaNn.
혼합 희토류 알루미늄 산질화물: LaxLuyAlaOmNn, YxLuyAlaOmNn, YxLaxAlaOmNn, NdxLayAlaOmNn, 및 LaxPryAlaOmNn.
혼합 희토류 산화물막
도 3a - 3d는 본 발명의 실시예에 따른 혼합 희토류 산화물막을 형성하는 프로세스 흐름이다. 도 3a - 3d의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다. 도 3a에서, 프로세스(300)는 반도체 기판과 같은 기판이 단계 302에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 304에서, 기판은 제 1 희토류 전구체를 포함하는 가스 펄스 및 산소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 306에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스에 순차적으로 노출된다. 산소-함유 가스는 O2, H20, H2O2, 오존, 또는 플라스마 여기 산소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
단계 304에서, 제 1 희토류 전구체는 가열된 기판의 표면 상의 하이드록실기와 반응하여 제 1 희토류 금속 원소를 함유하는 단층 두께보다 적은 화학 흡착층을 형성한다. 화학 흡착층은 제 1 희토류 금속 원소의 사이즈에 비해서 전구체의 사이즈가 크기 때문에 단층 두께보다도 적다. 다음으로, 산소-함유 가스의 가스 펄스로부터의 산소는 화학 흡착 표층과 반응하여 하이드록실레이트 표면을 재생시킨다. 이 순차적인 가스 노출을 반복함으로써, 즉 2번의 노출을 복수회 교번함으로써, 사이클당 약 1 옹스트롬(10-10m)의 층간 성장을 달성하는 것이 가능하다. 아래에서 설명하는 바와 같이, 본 발명의 또 다른 실시예에 따라, 프로세스 챔버는 퍼징 및 배출되어 순차 및 교번 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 제 1 또는 제 2 희토류 전구체, 부산물, 및 산소-함유 가스를 제거할 수 있다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yOm - 식 중 x, y, 및 m은 0인 아닌 수임 - 을 갖는 혼합 희토류 산화물막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 304 및 306은 소기의 두께를 갖는 혼합 희토류 산화물막이 형성될 때까지 프로세스 흐름 화살표(308)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 3a에 나타낸 실시예에 따라, 프로세스 흐름(300)은 제 1 희토류 전구체의 펄스, 산소-함유 가스의 펄스, 제 2 희토류 전구체의 펄스, 및 산소-함유 가스의 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 또 다른 실시예에 따라, 순차 및 교번 노출 단계 304, 306의 순서는 뒤바뀔 수 있는데, 즉 단계 304 이전에 단계 306이 실행되어 막 성장 및 막 조성을 초래할 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 304 및 306 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 304가 펄스 시퀀스 A로 표시되고 단계 306이 펄스 시퀀스 B로 표시되는 경우, 증착 사이클은 AB를 포함할 수 있는데 여기서 AB는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABABAB 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A와 B의 임의 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 산화물막에 제 1 및 제 2 희토류 원소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 산화물막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 3a에 나타낸 프로세스 흐름에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 산화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABC, ABBC, ABCC 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, 및 C의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
도 3b는 본 발명의 또 다른 실시예에 따라 혼합 희토류 산화물막을 형성하는 프로세스 흐름이다. 프로세스 흐름(320)은 도 3a의 프로세스 플로(310)와 유사하지만, 프로세스 흐름(320)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함한다. 퍼징 또는 배기 단계는 순차 및 교번 희토류 전구체와 산소-함유 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 및 산소-함유 가스를 제거할 때 도움을 줄 수 있다. 본원에서 사용되는 바와 같이, 퍼징 단계는 퍼징하는 동안 프로세스 챔버를 배출하는 단계를 더 포함할 수 있다.
프로세스(320)는 반도체 기판과 같은 기판이 단계 322에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 324에서, 기판은 제 1 희토류 전구체 기판의 가스 펄스에 노출되고, 단계 326에서, 프로세스 챔버는 미반응 제 1 희토류 전구체 및 임의의 부산물을 프로세스 챔버로부터 제거하도록 퍼징 또는 배기된다. 단계 328에서, 기판은 산소-함유 가스의 펄스에 노출되고, 단계 330에서, 프로세스 챔버는 임의의 미반응 산소-함유 가스 또는 부산물을 프로세스 챔버로부터 제거하도록 퍼징 또는 배기된다.
단계 332에서, 기판은 제 2 희토류 전구체를 포함하는 가스 펄스에 노출되고, 단계 334에서, 프로세스 임의의 미반응 제 2 희토류 전구체 및 임의의 부산물을 프로세스 챔버로부터 제거하도록 챔버는 퍼징 또는 배기된다. 단계 336에서, 기판은 산소-함유 가스의 펄스에 노출되고, 단계 338에서, 프로세스 챔버는 퍼징 또는 배기되어 임의의 미반응 산소-함유 가스 또는 부산물을 프로세스 챔버로부터 제거한다. 도 3a의 프로세스 흐름(300)와 유사하게, 프로세스 흐름(320)의 노출 단계(324-330)는 프로세스 흐름 화살표(340)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있고, 노출 단계(332-338)는 프로세스 흐름 화살표(342)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 본 발명의 일 실시예에 따라, 노출 단계(324-330)와 노출 단계(332-338)의 조합은 프로세스 흐름 화살표(344)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
도 3c는 본 발명의 또 다른 실시예에 따라 혼합 희토류 산화물막을 형성하는 프로세스 흐름이다. 도 3c에 나타낸 바와 같이, 프로세스(350)는 반도체 기판과 같은 기판이 단계 352에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 354에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수, 즉 적어도 2개의 희토류 전구체를 포함하는 가스 펄스에 노출된다. 따라서, 가스 펄스는 기판 상에 증착되는 복수의 상이한 희토류 금속 원소를 포함한다. 가스 펄스 내의 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 산화물막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 356에서, 기판은 산소-함유 가스의 펄스에 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 354 및 356은 프로세스 흐름 화살표(358)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
도 3d는 본 발명의 또 다른 실시예에 따라 혼합 희토류 산화물막을 형성하는 프로세스 흐름이다. 프로세스 흐름(360)은 도 3c의 프로세스 흐름(350)과 유사하지만, 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 또한 포함한다. 프로세스(360)는 반도체 기판과 같은 기판이 단계 362에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 364에서, 기판은 상이한 희토류 금속 원소을 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출되고, 단계 366에서, 프로세스 챔버는 미반응 희토류 전구체 및 임의의 부산물을 프로세스 챔버로부터 제거하도록 퍼징 또는 배기된다. 단계 368에서, 기판은 산소-함유 가스의 펄스에 노출되고, 단계 370에서, 프로세스 챔버는 임의의 초과한 산소-함유 가스 또는 부산물을 프로세스 챔버로부터 제거하도록 퍼징 또는 배기된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 364-370은 프로세스 흐름 화살표(372)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
혼합 희토류 질화물막
도 4a - 4b는 본 발명의 실시예에 따른 혼합 희토류 질화물막을 형성하는 프로세스 흐름이다. 도 4a - 4b의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 4a에서, 프로세스(400)는 반도체 기판과 같은 기판이 단계 402에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 404에서, 기판은 제 1 희토류 전구체를 포함하는 가스 펄스 및 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 406에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 질소-함유 가스는 NH3, N2H4, 플라스마 여기 질소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yNn - 식 중 x, y, 및 n은 0인 아닌 수임 - 을 갖는 혼합 희토류 질화물막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 404 및 406은 소기의 두께를 갖는 혼합 희토류 질화물막이 형성될 때까지 프로세스 흐름 화살표(408)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 4a에 나타낸 실시예에 따라, 프로세스 흐름(400)은 제 1 희토류 전구체의 펄스, 질소-함유 가스의 펄스, 제 2 희토류 전구체의 펄스, 및 질소-함유 가스의 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 일 실시예에 따라, 프로세스 흐름(400)은 임의의 순서로 단계 404, 406, 408을 포함할 수 있다. 본 발명의 또 다른 실시예에 따라, 증착 사이클의 순차 및 교번 노출 단계 404 및 406의 순서는 뒤바뀔 수 있는데, 즉 단계 404 이전에 단계 406이 실행되어 막 성장 및 막 조성을 초래할 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 404 및 406 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 404가 펄스 시퀀스 A로 표시되고 단계 406이 펄스 시퀀스 B로 표시되는 경우, 증착 사이클은 AB를 포함할 수 있는데 여기서 AB는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABABAB 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A와 B의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 질화물막에 제 1 및 제 2 희토류 원소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 질화물막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 4a에 나타낸 프로세스 흐름에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 질화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스의 순차 노출을 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABC, ABBC, ABCC 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, 및 C의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(400)은 도 3b의 프로세스 흐름(320)과 유사하게 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 교번 희토류 전구체와 질소-함유 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 및 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
도 4b는 본 발명의 또 다른 실시예에 따른 혼합 희토류 질화물막을 형성하는 프로세스 흐름이다. 도 4b에 나타낸 바와 같이, 프로세스(410)는 반도체 기판과 같은 기판이 단계 412에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 414에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출된다. 따라서, 가스 펄스는 기판 상에 증착되는 복수의 상이한 희토류 금속 원소를 포함한다. 가스 펄스 내의 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 질화물막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 416에서, 기판은 질소-함유 가스의 펄스에 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 414 및 416은 프로세스 흐름 화살표(418)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(410)은 도 3d의 프로세스 흐름(360)과 유사하게 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 교번 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 및 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
혼합 희토류 산질화물막
도 5a - 5b는 본 발명의 실시예에 따른 혼합 희토류 산질화물막을 형성하는 프로세스 흐름이다. 도 5a - 5b의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 5a에서, 프로세스(500)는 반도체 기판과 같은 기판이 단계 502에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 504에서, 기판은 제 1 희토류 전구체를 포함하는 가스 펄스 및 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 506에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 산소-함유 가스는 O2, H2O, H2O2, NO, NO2, N2O, 오존, 또는 플라스마 여기 산소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 질소-함유 가스는 NH3, N2H4, NO, NO2, N2O, 플라스마 여기 질소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 산소와 질소를 막에 도입하기 위해서, 단계 504 및 506의 조합은 산소를 포함하는 적어도 하나의 가스 펄스 및 질소를 포함하는 적어도 하나의 가스 펄스를 포함해야 한다. 물론, NO, NO2, 또는 N2O를 포함하는 가스는 산소와 질소 모두를 포함한다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yOmNn - 식 중 x, y, m, 및 n은 0인 아닌 수임 - 을 갖는 혼합 희토류 산질화물막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 504 및 506은 소기의 두께를 갖는 혼합 희토류 산질화물막이 형성될 때까지 프로세스 흐름 화살표(508)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 5a에 나타낸 실시예에 따라, 프로세스 흐름(500)은 제 1 희토류 전구체의 펄스, 산소-, 질소- 또는 산소와 질소-함유 가스의 펄스, 제 2 희토류 전구체의 펄스, 및 산소-, 질소- 또는 산소와 질소-함유 가스의 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 또 다른 실시예에 따라, 순차 및 교번 노출 단계 504 및 506의 순서는 뒤바뀔 수 있는데, 즉 단계 504 이전에 단계 506이 실행되어 막 성장 및 막 조성을 초래할 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 504 및 506 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 504가 펄스 시퀀스 A로 표시되고 단계 506이 펄스 시퀀스 B로 표시되는 경우, 증착 사이클은 AB를 포함할 수 있는데 여기서 AB는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABABAB 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A와 B의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 산질화물막에 제 1 및 제 2 희토류 금속 원소, 산소, 및 질소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 산질화물막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 금속 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 5a에 나타낸 프로세스 흐름에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 산질화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체의 가스 펄스 및 산소-, 질소-, 또는 산소와 질소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체의 가스 펄스 및 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABC, ABBC, ABCC 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, 및 C의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(500)은 도 3b의 프로세스 흐름(320)과 유사하게 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 교번 희토류 전구체, 산소, 및 질소-함유 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 산소-함유 가스, 및 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
도 5b는 본 발명의 또 다른 실시예에 따른 혼합 희토류 산질화물막을 형성하는 프로세스 흐름이다. 도 5b에 나타낸 바와 같이, 프로세스(510)는 반도체 기판과 같은 기판이 단계 512에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 514에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출된다. 따라서, 가스 펄스는 기판 상에 증착되는 복수의, 즉 적어도 2개의 상이한 희토류 금속 원소를 포함한다. 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 질화물막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 516에서, 기판은 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 펄스에 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 514 및 516은 프로세스 흐름 화살표(518)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 산소와 질소를 막에 도입하기 위해서, 단계 514 및 516의 조합은 산소를 포함하는 적어도 하나의 가스 펄스 및 질소를 포함하는 적어도 하나의 가스 펄스를 포함해야 한다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(510)은 도 3d의 프로세스 흐름(360)과 유사하게 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 교번 가스 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 산소-함유 가스, 또는 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
혼합 희토류 알루미네이트막
도 6a - 6b는 본 발명의 실시예에 따른 혼합 희토류 알루미네이트막을 형성하는 프로세스 흐름이다. 도 6a - 6b의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 6a에서, 프로세스(600)는 반도체 기판과 같은 기판이 단계 602에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 604에서, 기판은 제 1 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 606에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 608에서, 기판은 알루미늄 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스에 순차적으로 노출된다. 산소-함유 가스는 O2, H20, H2O2, 오존, 또는 플라스마 여기 산소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yAlaOm - 식 중 x, y, a, 및 m은 0인 아닌 수임 - 을 갖는 혼합 희토류 알루미네이트막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 604, 606 및 608은 소기의 두께를 갖는 혼합 희토류 알루미네이트막이 형성될 때까지 프로세스 흐름 화살표(614)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 6a에 나타낸 실시예에 따라, 프로세스 흐름은 제 1 희토류 전구체의 펄스, 산소-함유 가스의 펄스, 제 2 희토류 전구체의 펄스, 산소-함유 가스의 펄스, 알루미늄 전구체의 펄스, 및 산소-함유 가스의 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 또 다른 실시예에 따라, 증착 사이클의 순차 및 교번 노출 단계 604, 606, 608의 순서는 막 성장 및 막 조성을 초래하도록 변경될 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 604, 606, 608 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 604가 펄스 시퀀스 A로 표시되고 단계 606이 펄스 시퀀스 B로 표시되며, 단계 608이 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은 ABX를 포함할 수 있는데 여기서 ABX는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABXABXABX 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A, B, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 알루미네이트막에 제 1 및 제 2 희토류 원소 및 알루미늄의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 알루미네이트막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 금속 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 6a에 나타낸 프로세스 흐름에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 산화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABCX, ABBCX, ABCCX 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, C, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(600)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 희토류 전구체, 산소-함유 가스, 및 알루미늄-함유 가스의 교번 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 알루미늄 전구체, 및 산소-함유 가스를 제거할 때 도움을 줄 수 있다.
노출 단계 604 및 606은 프로세스 흐름 화살표(612)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있고, 노출 단계 606 및 608은 프로세스 흐름 화살표(610)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있다. 또한, 노출 단계 604, 606, 608은 프로세스 화살표(614)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
도 6b는 본 발명의 또 다른 실시예에 따른 혼합 희토류 알루미네이트막을 형성하는 프로세스 흐름이다. 도 6b에 나타낸 바와 같이, 프로세스(620)는 반도체 기판과 같은 기판이 단계 622에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 624에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스 및 산소-함유 가스를 갖는 가스 펄스에 노출된다. 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 알루미네이트막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 626에서, 기판은 알루미늄 전구체의 가스 펄스 및 산소-함유 가스의 펄스에 순차적으로 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 624 및 626은 프로세스 흐름 화살표(628)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 또한, 노출 단계 624 및 626 각각은 소정의 횟수로 독립적으로 반복될 수 있다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(620)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 산소-함유 가스, 및 알루미늄 전구체를 제거할 때 도움을 줄 수 있다.
혼합 희토류 알루미늄 질화물막
도 7a - 7b는 본 발명의 실시예에 따른 혼합 희토류 알루미네이트막을 형성하는 프로세스 흐름이다. 도 7a - 7b의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 7a에서, 프로세스(700)는 반도체 기판과 같은 기판이 단계 702에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 704에서, 기판은 제 1 희토류 전구체를 포함하는 가스 펄스 및 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 706에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 708에서, 기판은 알루미늄 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 질소-함유 가스는 NH3, N2H4, 플라스마 여기 질소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yAlaNn - 식 중 x, y, a, 및 n은 0인 아닌 수임 - 을 갖는 혼합 희토류 알루미네이트막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 704 및 706은 소기의 두께를 갖는 혼합 희토류 알루미네이트막이 형성될 때까지 프로세스 흐름 화살표(608)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 7a에 나타낸 실시예에 따라, 프로세스 흐름은 제 1 희토류 전구체의 펄스, 질소-함유 가스의 펄스, 제 2 희토류 전구체의 펄스, 질소-함유 가스의 펄스, 알루미늄 전구체의 펄스, 및 질소-함유 가스의 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 또 다른 실시예에 따라, 증착 사이클의 순차 및 교번 노출 단계 704, 706, 708의 순서는 막 성장 및 막 조성을 초래하도록 변경될 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 704, 706, 708 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 704가 펄스 시퀀스 A로 표시되고, 단계 706이 펄스 시퀀스 B로 표시되며, 단계 708이 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은 ABX를 포함할 수 있는데 여기서 ABX는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABXABXABX 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A, B, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 알루미늄 질화물막에 제 1 및 제 2 희토류 원소 및 알루미늄의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 알루미늄 질화물막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 7a에 나타낸 프로세스 흐름에 추가되어 복수의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 알루미네이트막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 소기의 희토류 원소마다 각 증착 사이클로 희토류 금속 전구체의 가스 펄스 및 질소-함유 가스의 가스 펄스의 순차 노출을 포함하는 부가적인 펄스 시퀀스를 포함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체 및 질소-함유 가스의 순차 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABCX, ABBCX, ABCCX, ABCXX 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, C, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 금속 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 7a에 나타낸 프로세스 흐름에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 산화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체의 가스 펄스 및 산소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABCX, ABBCX, ABCCX 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, C, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(700)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 희토류 전구체, 질소-함유 가스, 및 알루미늄-함유 가스의 교번 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 알루미늄 전구체, 및 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
노출 단계 704 및 706은 프로세스 흐름 화살표(712)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있고, 노출 단계 706 및 708은 프로세스 흐름 화살표(710)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있다. 또한, 노출 단계 704, 706, 708은 프로세스 화살표(714)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
도 7b는 본 발명의 또 다른 실시예에 따른 혼합 희토류 알루미네이트막을 형성하는 프로세스 흐름이다. 도 7b에 나타낸 바와 같이, 프로세스(720)는 반도체 기판과 같은 기판이 단계 722에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 724에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스 및 질소-함유 가스를 갖는 가스 펄스에 노출된다. 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 알루미늄 질화물막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 726에서, 기판은 알루미늄 전구체의 펄스 및 질소-함유 가스의 펄스에 순차적으로 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 724 및 726은 프로세스 흐름 화살표(728)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(720)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 질소-함유 가스, 및 알루미늄 전구체를 제거할 때 도움을 줄 수 있다.
혼합 희토류 알루미늄 산질화물막
도 8a - 8b는 본 발명의 실시예에 따른 혼합 희토류 알루미늄 산질화물막을 형성하는 프로세스 흐름이다. 도 8a - 8b의 프로세스 흐름은 도 1, 2의 ALD/PEALD 시스템(1/101), 또는 ALD/PEALD 프로세스를 수행하도록 구성된 임의의 다른 적당한 ALD/PEALD 시스템에 의해 수행될 수 있다.
도 8a에서, 프로세스(800)는 반도체 기판과 같은 기판이 단계 802에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다. 단계 804에서, 기판은 제 1 희토류 전구체를 포함하는 가스 펄스 및 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 806에서, 기판은 제 2 희토류 전구체의 가스 펄스 및 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 단계 808에서, 기판은 알루미늄 전구체의 가스 펄스 및 산소-함유 가스, 질소-함유 가스, 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 산소-함유 가스는 O2, H2O, H2O2, NO, NO2, N2O, 오존, 또는 플라스마 여기 산소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 질소-함유 가스는 NH3, N2H4, NO, NO2, N2O, 플라스마 여기 질소, 또는 이들의 조합, 및 선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다. 산소와 질소를 막에 도입하기 위해서, 단계 804 및 806의 조합은 산소를 포함하는 적어도 하나의 가스 펄스 및 질소를 포함하는 적어도 하나의 가스 펄스를 포함해야 한다. 물론, NO, NO2, 또는 N2O를 포함하는 가스는 산소와 질소 모두를 포함한다.
본 발명의 실시예에 따라, 제 1 희토류(RE1) 전구체 및 제 2 희토류(RE2) 전구체는 일반 화학식 RE1xRE2yAlaOmNn - 식 중 x, y, a, m, 및 n은 0인 아닌 수임 - 을 갖는 혼합 희토류 알루미늄 산질화물막을 형성하는 상이한 희토류 금속 원소를 포함한다. 순차 노출 단계 804, 806, 및 808은 소기의 두께를 갖는 혼합 희토류 알루미늄 산질화물막이 형성될 때까지 프로세스 흐름 화살표(814)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다. 소기의 막 두께는 형성되는 반도체 장치 또는 장치 영역의 유형에 좌우될 수 있다. 예를 들면, 막 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
도 8a에 나타낸 실시예에 따라, 프로세스 흐름은 제 1 희토류 전구체의 펄스, 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스, 제 2 희토류 전구체의 펄스, 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스, 알루미늄 전구체의 펄스, 및 산소-, 질소 또는 산소와 질소-함유 가스의 가스 펄스의 순차 및 교번 노출을 포함하는 증착 사이클을 포함한다. 본 발명의 또 다른 실시예에 따라, 증착 사이클의 순차 및 교번 노출 단계 804, 806, 808의 순서는 막 성장 및 막 조성을 초래하도록 변경될 수 있다.
본 발명의 일 실시예에 따라, 순차 노출 단계 804, 806, 808 각각은 소정의 횟수로 독립적으로 반복될 수 있다. 일례에서, 단계 804가 펄스 시퀀스 A로 표시되고, 단계 806이 펄스 시퀀스 B로 표시되며, 단계 808이 펄스 시퀀스 X로 표시되는 경우, 증착 사이클은 ABX를 포함할 수 있는데 여기서 ABX는 소기의 막이 형성될 때까지 소정의 횟수(즉, ABXABXABX 등)로 반복될 수 있다. 당업자가 쉽게 인식하고 있는 바와 같이, 다양한 다른 증착 사이클, 예를 들면 AABXAABX, ABBXABBX, ABXXABXX, AABXABBX 등을 포함하는 사이클이 가능하다. 그렇지만, 본 발명의 실시예는 A, B, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 이들 상이한 증착 사이클을 이용하여, 결과적인 혼합 희토류 알루미늄 산질화물막에 제 1 및 제 2 희토류 원소, 알루미늄, 질소, 및 산소의 상이한 양 및 상이한 깊이 프로파일을 포함하는 희토류 알루미늄 산질화물막을 증착하는 것이 가능하다.
본 발명의 또 다른 실시예에 따라, 상이한 희토류 금속 원소를 함유하는 부가적인 희토류 전구체를 포함하는 부가적인 펄스 시퀀스가 도 8a에 나타낸 프로세스 플로에 추가되어 3개 이상의 상이한 희토류 금속 원소를 함유하는 혼합 희토류 알루미늄 산화물막을 형성할 수 있다. 달리 말하면, 부가적인 희토류 원소는 막에 도입되는 부가적인 희토류 금속 원소마다 희토류 전구체 및 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스의 순차 노출을 포함하는 펄스 시퀀스를 추가함으로써 막에 도입될 수 있다. 일례에서, 제 3 희토류 전구체 및 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스를 포함하는 펄스 시퀀스 C가 추가될 수 있다. 따라서, 하나의 증착 사이클은 예를 들면 ABCX, ABBCX, ABCCX, ABCXX 등을 포함할 수 있다. 그렇지만, 본 발명의 실시예는 A, B, C, 및 X의 다른 조합이 이용될 수 있는 바와 같이 이들 증착 사이클에 제한되지 않는다. 본 발명의 또 다른 실시예에 따라, 프로세스 흐름(800)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 희토류 전구체, 산소-함유 가스, 질소-함유 가스, 및 알루미늄-함유 가스의 교번 펄스 사이에서 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 알루미늄 전구체, 산소-함유 가스, 및 질소-함유 가스를 제거할 때 도움을 줄 수 있다.
노출 단계 804 및 806은 프로세스 흐름 화살표(812)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있고, 노출 단계 806 및 808은 프로세스 흐름 화살표(810)로 나타낸 바와 같이 소정의 횟수로 연속하여 반복될 수 있다. 또한, 노출 단계 804, 806, 808은 프로세스 화살표(814)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
도 8b는 본 발명의 또 다른 실시예에 따른 혼합 희토류 알루미늄 산질화물막을 형성하는 프로세스 흐름이다. 도 8b에 나타낸 바와 같이, 프로세스(820)는 반도체 기판과 같은 기판이 단계 822에서 ALD 또는 PEALD 시스템의 프로세스 챔버에 배치되는 경우에 개시된다.
단계 824에서, 기판은 상이한 희토류 금속 원소를 각각 갖는 복수의 희토류 전구체를 포함하는 가스 펄스 및 산소-, 질소- 또는 산소와 질소-함유 가스를 갖는 가스 펄스에 동시에 노출된다. 각 희토류 전구체의 상대 농도는 결과적인 혼합 희토류 산질화물막의 조성에 알맞도록 독립적으로 제어될 수 있다. 단계 826에서, 기판은 알루미늄 전구체의 가스 펄스 및 산소-, 질소- 또는 산소와 질소-함유 가스의 가스 펄스에 순차적으로 노출된다. 본 발명의 일 실시예에 따라, 순차 노출 단계 824 및 826은 프로세스 흐름 화살표(828)로 나타낸 바와 같이 소정의 횟수로 반복될 수 있다.
본 발명의 또 다른 실시예에 따라, 프로세스 흐름(820)은 각 가스 펄스 이후 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함할 수 있다. 퍼징 또는 배기 단계는 프로세스 챔버로부터 임의의 미반응 희토류 전구체, 부산물, 산소-함유 가스, 질소-함유 가스, 및 알루미늄 전구체를 제거할 때 도움을 줄 수 있다.
도 9a 및 9b는 본 발명의 실시예에 따른 혼합 희토류계 재료를 포함하는 반도체 장치의 단면도를 개략적으로 나타낸다. 개략적인 단면도에서, 전계 발광 트랜지스터(field emission transistor; FET)(90, 91)의 소스 및 드레인 영역은 도시되어 있지 않다. 도 9a에서의 FET(90)는 반도체 기판(92), 게이트 유전체의 역할을 하는 혼합 희토류계 막(96), 및 막(96) 위의 도전성 게이트 전극막(98)을 포함한다. 혼합 희토류계 막(96)은 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택된 복수의, 즉 적어도 2개의 상이한 희토류 금속 원소를 포함할 수 있다. 혼합 희토류계 막(96)은 혼합 희토류 산화물막, 혼합 희토류 질화물막, 혼합 희토류 산질화물막, 혼합 희토류 알루미네이트막, 혼합 희토류 알루미늄 질화물막, 또는 혼합 희토류 알루미늄 산질화물막일 수 있다. 혼합 희토류계 막(96)의 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이, 또는 약 5 옹스트롬과 약 40 옹스트롬 사이일 수 있다.
FET(90)는, 예를 들면 두께가 약 5nm와 약 10nm 사이일 수 있고, 폴리-Si, 금속, 또는 W, WN, WSix, Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, 또는 Ru를 포함한 금속-함유 재료를 포함할 수 있는 게이트 전극막(98)을 더 포함한다.
도 9b에서의 FET(91)는 도 9a에서의 FET(90)와 유사하지만 혼합 희토류계 막(96)과 기판(92) 사이에 계면층(94)을 더 포함한다. 계면층(94)은 예를 들면 산화물층, 질화물층, 또는 산질화물층일 수 있다.
본 발명의 실시예에 따라, 반도체 장치는 혼합 희토류계 재료를 함유하는 커패시터를 포함할 수 있다.
본 발명의 명확한 예시적인 실시예만을 위에서 상세히 설명하였지만, 본 발명의 신규한 교시 및 이점들을 실질적으로 벗어나지 않고서 많은 개량이 예시적인 실시예에서 가능하다는 것을 당업자는 쉽게 이해할 것이다. 따라서, 이 모든 개량은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (50)

  1. 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법에 있어서,
    기판을 프로세스 챔버에 배치하는 단계와,
    소기의 두께를 갖는 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막을 증착하도록 상기 기판을 가스 펄스 시퀀스에 노출시키는 단계
    를 포함하고, 상기 가스 펄스 시퀀스는,
    a) 순차적으로 먼저, 상기 기판을 제 1 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와,
    b) 순차적으로 먼저, 상기 기판을 제 2 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계로서, 상기 제 1 및 제 2 희토류 전구체 각각은 상이한 희토류 금속 원소를 포함하는 것인 단계와,
    선택 사항으로서, c) 순차적으로 먼저, 상기 기판을 알루미늄 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계
    를 임의의 순서로 포함하고,
    a), b) 및 선택 사항인 c) 각각은 임의의 소기의 횟수로 선택적으로 반복되고, a), b) 및 선택 사항인 c)를 포함하는 상기 가스 펄스 시퀀스는 소기의 두께를 달성하도록 임의의 소기의 횟수로 임의 순서로 선택적으로 반복되며,
    상이한 희토류 금속 원소는 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막 중에서 고용체(solid solution)로 존재하고, 상기 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막은 상기 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 질화물 또는 알루미늄 질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 희토류 전구체 내의 상기 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  3. 제 1 항에 있어서,
    상기 질소-함유 가스는 NH3, N2H4 또는 플라스마 여기 질소, 또는 이들의 조합을 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  4. 제 1 항에 있어서,
    a)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  5. 제 1 항에 있어서,
    b)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  6. 제 1 항에 있어서,
    c)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  7. 제 1 항에 있어서,
    a), b) 또는 c) 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  8. 제 1 항에 있어서,
    a), b) 또는 c) 중 적어도 하나에서 첫번째 및 두번째 노출 단계 사이에서 상기 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  9. 제 1 항에 있어서,
    하나 이상의 부가적인 노출 단계를 수행하는 단계로서, 부가적인 노출 단계각각은 순차적으로 먼저 상기 기판을 부가적인 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계와, 둘째로, 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계
    를 더 포함하고,
    부가적인 희토류 전구체 각각은 상기 제 1 및 제 2 희토류 전구체 내의 희토류 금속 원소 이외에 상이한 희토류 금속 원소를 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  10. 제 1 항에 있어서,
    상기 혼합 희토류 질화물 또는 알루미늄 질화물 막의 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이인 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  11. 제 1 항에 있어서,
    a) 및 b)에서의 첫번째 노출 단계가 동시에 수행되고 a) 및 b)에서의 두번째 노출 단계가 동시에 수행되어, 순차적으로 먼저 상기 기판을 상기 제 1 및 제 2 희토류 전구체 모두를 포함하는 가스 펄스에 노출시키고, 둘째로 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  12. 혼합 희토류 질화물막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 상기 기판을 상이한 희토류 금속 원소를 각각 함유하는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계와,
    c) 상기 기판을 질소-함유 가스의 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 질화물 막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 질화물 막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 질화물 막은 상기 혼합 희토류 질화물 막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 질화물막의 형성 방법.
  13. 제 12 항에 있어서,
    상기 2 이상의 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb로부터 선택되는 것인, 혼합 희토류 질화물막의 형성 방법.
  14. 제 12 항에 있어서,
    상기 질소-함유 가스는 NH3, N2H4 또는 플라스마 여기 질소, 또는 이들의 조합을 포함하는 것인, 혼합 희토류 질화물 또는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  15. 제 12 항에 있어서,
    상기 노출 단계 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 질화물막의 형성 방법.
  16. 제 12 항에 있어서,
    상기 혼합 희토류 질화물막의 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이인 것인, 혼합 희토류 질화물막의 형성 방법.
  17. 혼합 희토류 알루미늄 질화물막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 순차적으로 먼저, 상기 기판을 상이한 희토류 금속 원소를 각각 함유하는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 질소-함유 가스의 가스 펄스에 노출시키는 단계와,
    c) 순차적으로 먼저, 상기 기판을 알루미늄 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 알루미늄 질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 알루미늄 질화물막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 알루미늄 질화물막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 알루미늄 질화물막은 상기 혼합 희토류 알루미늄 질화물막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 알루미늄 질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 알루미늄 질화물막의 형성 방법.
  18. 제 17 항에 있어서,
    상기 2 이상의 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 알루미늄 질화물막의 형성 방법.
  19. 제 17 항에 있어서,
    상기 질소-함유 가스는 NH3, N2H4 또는 플라스마 여기 질소, 또는 이들의 조합을 포함하는 것인, 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  20. 제 17 항에 있어서,
    b) 또는 c) 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  21. 제 17 항에 있어서,
    b) 또는 c) 중 적어도 하나에서 첫번째 및 두번째 노출 단계 사이에서 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  22. 혼합 희토류 알루미늄 질화물 막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 상기 기판을 2 이상의 상이한 희토류 금속 원소를 함유하는 복수의 희토류 전구체를 포함하고, 알루미늄 전구체를 함유하는 가스 펄스에 노출시키는 단계와,
    c) 상기 기판을 질소-함유 가스의 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 알루미늄 질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 알루미늄 질화물막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 알루미늄 질화물막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 알루미늄 질화물막은 상기 혼합 희토류 알루미늄 질화물막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 알루미늄 질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 알루미늄 질화물막의 형성 방법.
  23. 제 22 항에 있어서,
    상기 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 알루미늄 질화물막의 형성 방법.
  24. 제 22 항에 있어서,
    상기 질소-함유 가스는 NH3, N2H4 또는 플라스마 여기 질소, 또는 이들의 조합을 포함하는 것인, 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  25. 제 22 항에 있어서,
    상기 노출 단계 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 질화물 막의 형성 방법.
  26. 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법에 있어서,
    기판을 프로세스 챔버에 배치하는 단계와,
    소기의 두께를 갖는 혼합 희토류 산질화물 또는 알루미늄 산질화물 막을 증착하도록 상기 기판을 가스 펄스 시퀀스에 노출시키는 단계
    를 포함하고, 상기 가스 펄스 시퀀스는,
    a) 순차적으로 먼저, 상기 기판을 제 1 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와,
    b) 순차적으로 먼저, 상기 기판을 제 2 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계로서, 상기 제 1 및 제 2 희토류 전구체 각각은 상이한 희토류 금속 원소를 포함하는 것인 단계와,
    선택 사항으로서, c) 순차적으로 먼저, 상기 기판을 알루미늄 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계
    를 임의의 순서로 포함하고,
    a), b) 및 선택 사항인 c) 각각은 임의의 소기의 횟수로 선택적으로 반복되고, a), b) 및 선택 사항인 c)를 포함하는 상기 가스 펄스 시퀀스는 소기의 두께를 달성하도록 임의의 소기의 횟수로 임의 순서로 선택적으로 반복되며,
    상이한 희토류 금속 원소는 혼합 희토류 산질화물 또는 혼합 희토류 알루미늄 산질화물 막 중에서 고용체(solid solution)로 존재하고, 상기 혼합 희토류 산질화물 또는 혼합 희토류 알루미늄 산질화물 막은 상기 혼합 희토류 산질화물 또는 혼합 희토류 알루미늄 산질화물 막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 산질화물 또는 알루미늄 산질화물 막의 유전율보다 더 큰 유전율을 가는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  27. 제 26 항에 있어서,
    상기 제 1 및 제 2 희토류 전구체 내의 상기 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  28. 제 26 항에 있어서,
    상기 산소-, 질소-, 또는 산소- 및 질소-함유 가스는 O2, H2O, H2O2, 오존, 플라스마 여기 산소, NO, NO2, N2O, NH3, N2H4 또는 플라스마 여기 질소 중 하나 이상을 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  29. 제 26 항에 있어서,
    a)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  30. 제 26 항에 있어서
    b)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  31. 제 26 항에 있어서,
    c)는 첫번째 및 두번째 노출 단계를 복수회 교번시키는 단계를 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  32. 제 26 항에 있어서,
    a), b) 또는 c) 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  33. 제 26 항에 있어서,
    a), b) 또는 c) 중 적어도 하나에서 첫번째 및 두번째 노출 단계 사이에서 상기 프로세스 챔버를 퍼징 또는 배기하는 단계를 더 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  34. 제 26 항에 있어서,
    하나 이상의 부가적인 노출 단계를 수행하는 단계로서, 부가적인 노출 단계각각은 순차적으로 먼저 상기 기판을 부가적인 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계와, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계
    를 더 포함하고,
    부가적인 희토류 전구체 각각은 상기 제 1 및 제 2 희토류 전구체 내의 희토류 금속 원소 이외에 상이한 희토류 금속 원소를 포함하는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  35. 제 26 항에 있어서,
    상기 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이인 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  36. 제 26 항에 있어서,
    a) 및 b)에서의 첫번째 노출 단계가 동시에 수행되고 a) 및 b)에서의 두번째 노출 단계가 동시에 수행되어, 순차적으로 먼저 상기 기판을 상기 제 1 및 제 2 희토류 전구체 모두를 포함하는 가스 펄스에 노출시키고, 둘째로 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 것인, 혼합 희토류 산질화물 또는 알루미늄 산질화물 막의 형성 방법.
  37. 혼합 희토류 산질화물막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 상기 기판을 상이한 희토류 금속 원소를 각각 함유하는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출시키는 단계와,
    c) 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스의 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 산질화물 막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 산질화물 막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 산질화물 막은 상기 혼합 희토류 산질화물 막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 산질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 산질화물막의 형성 방법.
  38. 제 37 항에 있어서,
    상기 2 이상의 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb로부터 선택되는 것인, 혼합 희토류 산질화물막의 형성 방법.
  39. 제 37 항에 있어서,
    상기 산소-, 질소-, 또는 산소- 및 질소-함유 가스는 O2, H2O, H2O2, 오존, 플라스마 여기 산소, NO, NO2, N2O, NH3, N2H4 또는 플라스마 여기 질소 중 하나 이상을 포함하는 것인, 혼합 희토류 산질화물 막의 형성 방법.
  40. 제 37 항에 있어서,
    상기 노출 단계 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 산질화물막의 형성 방법.
  41. 제 37 항에 있어서,
    상기 혼합 희토류 질화물막의 두께는 약 5 옹스트롬과 약 200 옹스트롬 사이인 것인, 혼합 희토류 산질화물막의 형성 방법.
  42. 혼합 희토류 알루미늄 산질화물막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 순차적으로 먼저, 상기 기판을 상이한 희토류 금속 원소를 각각 함유하는 복수의 희토류 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와,
    c) 순차적으로 먼저, 상기 기판을 알루미늄 전구체를 포함하는 가스 펄스에 노출시키고, 둘째로, 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스를 포함하는 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 알루미늄 산질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 알루미늄 산질화물막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 알루미늄 산질화물막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 알루미늄 산질화물막은 상기 혼합 희토류 알루미늄 산질화물막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 알루미늄 산질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 알루미늄 산질화물막의 형성 방법.
  43. 제 42 항에 있어서,
    상기 2 이상의 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 알루미늄 산질화물막의 형성 방법.
  44. 제 42 항에 있어서,
    상기 산소-, 질소-, 또는 산소- 및 질소-함유 가스는 O2, H2O, H2O2, 오존, 플라스마 여기 산소, NO, NO2, N2O, NH3, N2H4 또는 플라스마 여기 질소 중 하나 이상을 포함하는 것인, 혼합 희토류 알루미늄 산질화물 막의 형성 방법.
  45. 제 42 항에 있어서,
    b) 또는 c) 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 산질화물 막의 형성 방법.
  46. 제 42 항에 있어서,
    b) 또는 c) 중 적어도 하나에서 첫번째 및 두번째 노출 단계 사이에서 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 산질화물 막의 형성 방법.
  47. 혼합 희토류 알루미늄 산질화물 막의 형성 방법에 있어서,
    a) 기판을 프로세스 챔버에 배치하는 단계와,
    b) 상기 기판을 2 이상의 상이한 희토류 금속 원소를 함유하는 복수의 희토류 전구체를 포함하고, 알루미늄 전구체를 함유하는 가스 펄스에 노출시키는 단계와,
    c) 상기 기판을 산소-, 질소-, 또는 산소- 및 질소-함유 가스의 가스 펄스에 노출시키는 단계와,
    d) 소기의 두께를 갖는 혼합 희토류 알루미늄 산질화물막을 증착하도록 단계 b) 및 c)를 소기의 횟수로 반복하는 단계를 포함하는 혼합 희토류 알루미늄 산질화물막의 형성 방법으로서,
    2 이상의 상기 상이한 희토류 금속 원소는 상이하고 일치하지 않는 원자 사이즈를 가지고, 상기 혼합 희토류 알루미늄 산질화물막 중에서 고용체(solid solution)로 존재하며, 상기 혼합 희토류 알루미늄 산질화물막은 상기 혼합 희토류 알루미늄 산질화물막 중에 존재하는 단 하나의 상이한 희토류 금속 원소만을 갖는 알루미늄 산질화물 막의 유전율보다 더 큰 유전율을 가지는 것인, 혼합 희토류 알루미늄 산질화물막의 형성 방법.
  48. 제 47 항에 있어서,
    상기 희토류 금속 원소는 Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, 및 Yb로부터 선택되는 것인, 혼합 희토류 알루미늄 산질화물막의 형성 방법.
  49. 제 47 항에 있어서,
    상기 산소-, 질소-, 또는 산소- 및 질소-함유 가스는 O2, H2O, H2O2, 오존, 플라스마 여기 산소, NO, NO2, N2O, NH3, N2H4 또는 플라스마 여기 질소 중 하나 이상을 포함하는 것인, 혼합 희토류 알루미늄 산질화물 막의 형성 방법.
  50. 제 47 항에 있어서,
    상기 노출 단계 중 적어도 하나 이후 상기 프로세스 챔버를 퍼징 또는 배기하는 단계
    를 더 포함하는 혼합 희토류 알루미늄 산질화물 막의 형성 방법.
KR1020147000087A 2006-03-31 2007-03-28 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법 KR20140022454A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/278,387 US20070237697A1 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US11/278,387 2006-03-31
PCT/US2007/065342 WO2007115029A2 (en) 2006-03-31 2007-03-28 Method of forming mixed rare earth oxide and mixed rare earth aluminate films by atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026749A Division KR101366541B1 (ko) 2006-03-31 2007-03-28 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법

Publications (1)

Publication Number Publication Date
KR20140022454A true KR20140022454A (ko) 2014-02-24

Family

ID=38178054

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087026749A KR101366541B1 (ko) 2006-03-31 2007-03-28 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
KR1020147000087A KR20140022454A (ko) 2006-03-31 2007-03-28 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087026749A KR101366541B1 (ko) 2006-03-31 2007-03-28 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법

Country Status (6)

Country Link
US (1) US20070237697A1 (ko)
JP (1) JP2009532881A (ko)
KR (2) KR101366541B1 (ko)
CN (1) CN101460658B (ko)
TW (1) TW200813249A (ko)
WO (1) WO2007115029A2 (ko)

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6151335B2 (ja) * 2011-01-14 2017-06-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US20130078454A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171960B2 (en) * 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN107557756B (zh) * 2015-11-11 2020-05-26 南通大学 制备BiGaO3薄膜材料的气体脉冲序列
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095185A1 (ko) * 2015-12-03 2017-06-08 인천대학교 산학협력단 기능성 필터 및 그 제조방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7114072B2 (ja) * 2018-12-06 2022-08-08 株式会社高純度化学研究所 ビス(アルキルテトラメチルシクロペンタジエニル)亜鉛、化学蒸着用原料、および亜鉛を含有する薄膜の製造方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220042172A1 (en) * 2020-08-07 2022-02-10 Lawrence Livermore National Security, Llc System and method for atomic layer deposition of rare-earth oxides on optical grade materials for laser gain media
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
TW468212B (en) * 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US7273657B2 (en) * 2000-08-08 2007-09-25 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6770923B2 (en) * 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
EP1294021A1 (de) * 2001-08-31 2003-03-19 Infineon Technologies AG Kondensatoreinrichtung für eine Halbleiterschaltungsanordnung und Verfahren zu deren Herstellung
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
JP3756456B2 (ja) * 2002-03-07 2006-03-15 富士通株式会社 半導体装置の製造方法
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6680130B2 (en) * 2002-05-28 2004-01-20 Agere Systems, Inc. High K dielectric material and method of making a high K dielectric material
JP3790751B2 (ja) * 2002-07-19 2006-06-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 電子デバイス及び電界効果型トランジスタ・デバイス
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
JP2004111741A (ja) * 2002-09-19 2004-04-08 Semiconductor Leading Edge Technologies Inc 半導体装置及び半導体装置の製造方法
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6828200B2 (en) * 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4357224B2 (ja) * 2003-07-22 2009-11-04 株式会社東芝 半導体装置
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
JP4483364B2 (ja) * 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2005340721A (ja) * 2004-05-31 2005-12-08 Anelva Corp 高誘電率誘電体膜を堆積する方法
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials

Also Published As

Publication number Publication date
TW200813249A (en) 2008-03-16
WO2007115029A3 (en) 2007-11-29
KR101366541B1 (ko) 2014-02-25
KR20080110883A (ko) 2008-12-19
US20070237697A1 (en) 2007-10-11
WO2007115029A2 (en) 2007-10-11
CN101460658A (zh) 2009-06-17
CN101460658B (zh) 2011-11-09
JP2009532881A (ja) 2009-09-10

Similar Documents

Publication Publication Date Title
KR101366541B1 (ko) 혼합 희토류 산화물 또는 알루미네이트 막의 형성 방법, 혼합 희토류 산화물막의 형성 방법, 및 혼합 희토류 알루미네이트막의 형성 방법
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US10790149B2 (en) Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
US20110065287A1 (en) Pulsed chemical vapor deposition of metal-silicon-containing films
US20100237395A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US7713868B2 (en) Strained metal nitride films and method of forming
US8178446B2 (en) Strained metal nitride films and method of forming
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application