CN101460658B - 通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法 - Google Patents

通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法 Download PDF

Info

Publication number
CN101460658B
CN101460658B CN2007800201206A CN200780020120A CN101460658B CN 101460658 B CN101460658 B CN 101460658B CN 2007800201206 A CN2007800201206 A CN 2007800201206A CN 200780020120 A CN200780020120 A CN 200780020120A CN 101460658 B CN101460658 B CN 101460658B
Authority
CN
China
Prior art keywords
rare earth
gas
substrate
presoma
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800201206A
Other languages
English (en)
Other versions
CN101460658A (zh
Inventor
罗伯特·D·克拉克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101460658A publication Critical patent/CN101460658A/zh
Application granted granted Critical
Publication of CN101460658B publication Critical patent/CN101460658B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Abstract

本发明提供了一种沉积栅极电介质的方法,所述栅极电介质包括至少两种氧化物形式或铝酸盐形式的稀土金属元素。所述方法包括将衬底(25,92)布置在处理室(10)中以及将衬底(25,92)暴露于包含第一稀土前驱体的气体脉冲并暴露于包含第二稀土前驱体的气体脉冲。衬底(25,92)还可任选地被暴露于包含铝前驱体的气体脉冲。在每个前驱体气体脉冲之后,将衬底(25,92)暴露于含氧气体的气体脉冲。在替代性实施方式中,第一和第二稀土前驱体可一起被脉冲,并且二者之一或全部可与铝前驱体一起被脉冲。第一和第二稀土前驱体包含不同的稀土金属元素。可重复这种顺序暴露步骤,以沉积具有期望厚度的混合稀土氧化物或铝酸盐层(96)。还可以在每个气体脉冲之后进行吹扫或排空步骤。

Description

通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法
相关申请的交叉引用
本申请与下列专利文献相关,并通过引用将其全部内容结合在本申请中:
2006年3月31日提交的共同待审美国专利申请No.11/278393,代理人档案号No.TTCA-127B,名称为“METHOD OF FORMING MIXEDRARE EARTH NITRIDE AND ALUMINUM NITRIDE FILMS BY ATOMICLAYER DEPOSITION”;
2006年3月31日提交的共同待审美国专利申请No.11/278396,代理人档案号No.TTCA-127C,名称为“METHOD OF FORMING MIXEDRARE EARTH OXYNITRIDE AND ALUMINUM OXYNITRIDE FILMS BYATOMIC LAYER DEPOSITION”;
2006年3月31日提交的共同待审美国专利申请No.11/278397,代理人档案号No.TTCA-127D,名称为“SEMICONDUCTOR DEVICE WITHGATE DIELECTRIC CONTAINING MIXED RARE EARTHELEMENTS”;
2006年3月31日提交的共同待审美国专利申请No.11/278399,代理人档案号No.TTCA-127E,名称为“SEMICONDUCTOR DEVICE WITHGATE DIELECTRIC CONTAINING ALUMINUM AND MIXED RAREEARTH ELEMENTS”。
技术领域
本发明涉及一种用于半导体制造的形成电介质材料的方法,更具体地涉及一种形成高介电常数的混合稀土氧化物薄膜和混合稀土铝酸盐薄膜的方法,所述薄膜包含多种不同的稀土金属元素。
背景技术
对于将来的电子器件,高介电常数(高k)材料是用作电容器电介质和栅极电介质的理想材料。最先用作电容器电介质的高k材料是氧化钽和氧化铝材料。在DRAM制造中,目前正在使用混合铪铝氧化物材料作为电容器电介质。类似地,期望将铪基电介质作为栅极电介质用于制造,从而取代目前的氧化硅和氧氮化硅材料。
沉积高k电介质的最常见方法包括物理气相沉积(PVD)、化学气相沉积(CVD)和原子层沉积(ALD)。ALD方法相对于PVD和CVD方法的优点包括改善薄膜的厚度控制、改善整个晶片的均匀性以及改善高深宽比结构的保形性。
原子层沉积工艺包括将独立的反应蒸气流的脉冲引入含有衬底的处理室,各个脉冲通过吹扫或排空操作分隔。在每个脉冲期间,在晶片表面上形成自限制化学吸附层,然后该层与下个脉冲中所含组分反应。在脉冲间隙通过吹扫或排空操作来减少或消除反应蒸气流的气相混合。普通的ALD工艺可以很好地控制每个循环下的亚单层(sub-monolayer)或近单层(near-monolayer)的生长。
ALD的一个代表性实例是通过三甲基铝和水沉积铝(Al)氧化物。在此ALD工艺中,三甲基铝的脉冲会与受热衬底表面上的羟基反应,形成甲基-铝部分的化学吸附层,该化学吸附层自限制为少于一个单层。然后将反应室吹扫或排空,以去除未反应的三甲基铝以及任何的气相反应副产物。然后引入水的脉冲,其与表面铝-甲基键反应并重新生成羟基化的表面。重复上述沉积循环,可以实现逐层的薄膜生长,每个循环生长约1埃(10-10m)的薄膜。通过选择不同的反应前驱体和气体,ALD工艺可以沉积许多不同类型的薄膜。
目前接受评价的高k电介质材料存在各种问题。遇到的一些问题包括:退火时的薄膜结晶,沉积和进一步处理时的界面层生长,界面陷阱密度大,沟道迁移率下降,与多晶硅栅极反应以及金属栅极的费密能级钉扎。为了减轻上述问题,最近提出使用混合的锆(Zr)和铪(Hf)氧化物作为高k电介质。与纯Zr氧化物或纯Hf氧化物相比,这些电介质的优点包括提高热稳定性和改善电气性质。尽管并不知道造成这些改善的全部因素,但是锆和铪具有类似的化学性质并且锆氧化物与铪氧化物可无限混溶,这促进了混合Zr和Hf氧化物的使用。现有高k电介质材料存在的其它问题包括介电常数远低于高级半导体器件所期望的值。此外,高k电介质材料与下方衬底之间存在界面层,这会导致介电常数进一步降低。
因此,需要进一步开发高k电介质材料的形成方法,所述高k电介质材料可在例如电容器和晶体管的半导体器件中用作栅极电介质。
发明内容
本发明的实施方式提供了一种通过ALD和离子增强ALD(PEALD)形成混合稀土氧化物和铝酸盐薄膜的方法。所述混合稀土氧化物和铝酸盐薄膜包含多种不同稀土金属元素的混合物,所述稀土金属元素包括Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm和Yb。例如,混合稀土氧化物和铝酸盐薄膜可用于高级半导体应用,包括未来的用作电容器和栅极电介质二者的高k电介质材料。
根据本发明的一种实施方式,提供了一种形成混合稀土氧化物薄膜或混合稀土铝酸盐薄膜的方法,这如下实现:将衬底布置在处理室中,然后将衬底暴露于气体脉冲序列以沉积具有期望厚度的混合稀土氧化物薄膜或混合稀土铝酸盐薄膜。气体脉冲序列以任意次序包括:a)先将衬底暴露于包含第一稀土前驱体的气体脉冲,再将衬底暴露于包含含氧气体的气体脉冲;b)先将衬底暴露于包含第二稀土前驱体的气体脉冲,再将衬底暴露于包含含氧气体的气体脉冲,其中第一和第二稀土前驱体包含不同的稀土金属元素;以及任选的c)先将衬底暴露于包含铝前驱体的气体脉冲,再将衬底暴露于包含含氧气体的气体脉冲。所述方法还包括:任选地以期望次数重复每一个a)、b)和任选的c),并且任选地以期望次数以任意次序重复包括a)、b)和任选的c)的气体脉冲序列,以达到期望的厚度。根据本发明的一种实施方式,该方法还包括在至少一个暴露步骤之后吹扫或排空所述处理室。
根据本发明的另一种实施方式,提供了一种形成混合稀土氧化物薄膜的方法,这如下实现:a)将衬底布置在处理室中;b)将衬底顺序暴露于包含多种稀土前驱体的气体脉冲,每种稀土前驱体包含不同的稀土金属元素;c)将衬底暴露于包含含氧气体的脉冲;以及d)以期望次数重复步骤b)和c)期望次数,从而沉积具有期望厚度的混合稀土氧化物薄膜。根据本发明的一种实施方式,所述方法还包括在至少一个暴露步骤之后吹扫或排空处理室。根据本发明的另一种实施方式,步骤b)的气体脉冲包括铝前驱体,从而形成混合稀土铝酸盐薄膜。根据另一种实施方式,在进行了步骤b)和c)之后,将衬底暴露于另一个脉冲序列,包括暴露于铝前驱体再暴露于含氧气体,从而形成混合稀土铝酸盐薄膜。
附图说明
图1A示出了根据本发明的实施方式的ALD系统的示意图;
图1B示出了根据本发明的实施方式的PEALD系统的示意图;
图2A-2F示意性地示出了根据本发明的实施方式的用于形成混合稀土基薄膜的脉冲序列;
图3A-3D为根据本发明的实施方式的用于形成混合稀土氧化物薄膜的工艺流程图;
图4A-4B为根据本发明的实施方式的用于形成混合稀土氮化物薄膜的工艺流程图;
图5A-5B为根据本发明的实施方式的用于形成混合稀土氧氮化物薄膜的工艺流程图;
图6A-6B为根据本发明的实施方式的用于形成混合稀土铝酸盐薄膜的工艺流程图;
图7A-7B为根据本发明的实施方式的用于形成混合稀土铝氮化物薄膜的工艺流程图;
图8A-8B为根据本发明的实施方式的用于形成混合稀土铝氧氮化物薄膜的工艺流程图;
图9A和9B示意性地示出了根据本发明的实施方式的包含混合稀土基材料的半导体器件的剖视图。
具体实施方式
如同混合Zr/Hf氧化物基材料的情况,混合稀土基材料很可能为半导体应用中的未来高k应用提供有益的热特性和电特性。本文所用的“混合稀土基材料”是指包含多种(即至少两种)不同的稀土金属元素的材料。由于稀土元素作为氧化物、氮化物、氧氮化物、铝酸盐、铝氮化物和铝氧氮化物时,其化学性质类似并可几乎无限混溶,因此预期它们可与其它稀土元素形成高度稳定的固溶体。包含结合了多种稀土金属元素的混合稀土基材料的薄膜的预期优点包括:提高了与硅或金属栅电极材料接触的热稳定性;提高了结晶温度;与包含单一稀土金属元素的稀土基材料相比增大了介电常数;降低了界面陷阱密度;减少了阈值电压偏移和费密能级钉扎;改善了处理特性。例如,混合稀土基薄膜可用于未来高k电介质材料(用作电容器和晶体管栅极电介质)的应用。
将铝结合到混合稀土氧化物基材料中以形成铝酸盐结构,这样可以提高与硅接触的热稳定性以及更宽的带隙从而减少泄漏。其它优点包括可将介电常数提高到超过只包含一种稀土金属元素的稀土铝酸盐的介电常数。可以预期,使用不同原子尺寸的稀土元素的混合稀土铝酸盐薄膜可能存在组成范围,这些薄膜由于极性增大而具有明显较高的介电常数,极性增大可以通过两种稀土金属离子(例如,镧(La)与镥(Lu)的铝酸盐混合)之间的尺寸失配来实现。
将氮结合到栅极电介质材料中具有几个优点。在某些情况下,已报导电气特性得到改善。此外,氮掺杂的电介质与纯氧化物材料相比容易在更高的温度下保持无定形。氮结合的其它优点是使材料的介电常数略微增大并且抑制掺杂物通过材料的扩散。最后,氮结合有助于抑制薄膜沉积和后续处理步骤中的界面层生长。
本发明的实施方式提供了一种形成混合稀土基薄膜的方法,所述薄膜可以在优异的厚度控制条件下对于较高的深宽比进行均匀地沉积,而较高的深宽比是未来的DRAM和逻辑电路中可以预见的。由于沉积高k薄膜的CVD和PVD方法不能提供所需的保形性以及通过沉积速率控制原子层,因此在未来的集成电路中需要使用沉积高k材料的ALD和PEALD方法。
在以下描述中,为了便于充分理解本发明,以及为了说明性而非限制性的目的,以下描述提出了具体细节,例如沉积系统的具体几何结构以及其中所用的各种部件的描述。然而应当理解,本发明可以通过不同于这些具体细节的其它实施方式来实施。
现在参见附图,图1A示出了根据本发明的一种实施方式在衬底上沉积混合稀土基薄膜的ALD系统1。ALD系统1包括处理室10,处理室10具有被配置用于支撑衬底25的衬底支架20,在衬底25上形成混合稀土基薄膜。处理室10还包括上组件30(例如,喷淋头),上组件30耦合至第一处理材料供给系统40、第二处理材料供给系统42、吹扫气体供给系统44、含氧气体供给系统46、含氮气体供给系统48和含铝气体供给系统50。此外,ALD系统1包括耦合至衬底支架20并被配置用于升高和控制衬底25的温度的衬底温度控制系统60。另外,ALD系统1包括控制器70,控制器70可耦合至处理室10、衬底支架20、被配置用于将处理气体引入处理室10的组件30、第一处理材料供给系统40、第二处理材料供给系统42、吹扫气体供给系统44、含氧气体供给系统46、含氮气体供给系统48、含铝气体供给系统50和衬底温度控制系统60。
或者(或替代性地),控制器70可耦合至一个或更多个另外的控制器/计算机(未示出),并且控制器70可从另一个控制器/计算机获取设置和/或配置信息。
在图1A中,示出了单一的处理元件(10、20、30、40、42、44、46、48、50和60),但这并非本发明所必需。ALD系统1可包括任意数量的处理元件,这些处理元件除了独立的处理元件以外还可具有与其相连的任意数量的控制器。
控制器70可用于配置任意数量的处理元件(10、20、30、40、42、44、46、48、50和60),并且控制器70可收集、提供、处理、存储和显示来自处理元件的数据。控制器70可包括一定数量的用于控制一个或更多个处理元件的应用程序。例如,控制器70可包括能够提供易于使用的界面的图形用户界面(GUI)构件(未示出),以使用户监测和/或控制一种或更多种处理元件。
仍参见图1A,ALD系统1可被配置用于处理200mm衬底、300mm衬底或更大尺寸的衬底。实际上,本领域技术人员可以预期,该沉积系统可被配置用于处理各种尺寸的衬底、晶片或LCD。因此,尽管本发明的各个方面以处理半导体衬底的方式来描述,但本发明并不限于此。或者,可以使用能够同时处理多个衬底的间歇式ALD系统来沉积本发明的实施方式中描述的混合稀土基薄膜。
第一处理材料供给系统40和第二处理材料供给系统42被配置用于向处理室10中交替或同时引入第一和第二稀土前驱体,其中第一和第二稀土前驱体包含不同的稀土金属元素。第一和第二稀土前驱体的交替引入可以是循环的,或可以是非循环的,在第一与第二材料的引入之间具有可变的时间长度。此外,第一处理材料供给系统40和第二处理材料供给系统42中的每一个可被配置用于将多种稀土前驱体交替或同时引入处理室10,所述多种稀土前驱体包含不同的稀土金属元素。
根据本发明的实施方式,可以使用数种方法将稀土前驱体引入处理室10。一种方法包括:通过使用独立的起泡器或直接液体注射系统或其组合将稀土前驱体汽化,然后在引入处理室10期间或之前将其混合在气相中。通过独立控制每种前驱体的汽化速率,可以使沉积薄膜中具有期望的稀土金属元素化学计量。另一种供给每种稀土前驱体的方法包括独立控制两种或更多种不同的液体源,然后在进入通用蒸发器之前将其混合。当前驱体在溶液中相容或为液体形式并且具有类似的汽化特性时,可以使用该方法。其它方法包括在起泡器中使用相容的混合固体或液体前驱体。液体源前驱体可包括纯液体稀土前驱体或者溶解在相容溶剂中的固体或液体稀土前驱体。可用的相容溶剂包括但不限于,离子液体、烃(脂族烃、烯烃和芳族烃)、胺、酯、聚乙烯醚类(glymes)、冠醚、醚和聚醚。在某些情况下,可以将一种或更多种相容的固体前驱体溶解在一种或更多种相容的液体前驱体中。对本领域技术人员显而易见的是,以此方式,通过引入多种不同的稀土元素,可使沉积薄膜中包含多种稀土前驱体。本领域技术人员还会认识到,通过控制气体脉冲中的不同前驱体的相对浓度水平,可以以期望的化学计量沉积混合稀土基薄膜。
本发明的实施方式可以使用各种不同的稀土前驱体。例如,许多稀土前驱体具有下式:
                   ML1L2L3Dx
其中,M为稀土元素,选自钇(Y)、镥(Lu)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)和镱(Yb);L1、L2、L3为独立的阴离子配体;D为中性供体配体,其中x可为0、1、2或3。L1、L2、L3配体可各自独立地选自烷氧化物、卤化物、芳氧化物、酰胺、环戊二烯基、烷基、甲硅烷基、酰胺化物(amidinate)、β-二酮酸盐、酮亚胺(ketoiminate)、硅烷醇化物(silanoate)和羧酸盐。D配体可选自醚、呋喃、吡啶、吡咯、吡咯烷、胺、冠醚、聚乙烯醚类和腈。
L基烷氧化物的示例包括叔丁氧化物、异丙氧化物、乙氧化物、1-甲氧基-2,2-二甲基-2-丙酸盐(MMP)、1-二甲基氨基-2,2’-二甲基-丙酸盐、戊氧化物和新戊氧化物。卤化物的示例包括氟化物、氯化物、碘化物和溴化物。芳氧化物的示例包括苯氧化物和2,4,6-三甲基苯氧化物。酰胺的示例包括二(三甲基甲硅烷基)酰胺、二叔丁基酰胺和2,2,6,6-四甲基哌啶(TMPD)。环戊二烯基的示例包括环戊二烯基、1-甲基环戊二烯基、1,2,3,4-四甲基环戊二烯基、1-乙基环戊二烯基、五甲基环戊二烯基、1-异丙基环戊二烯基、1-正丙基环戊二烯基和1-正丁基环戊二烯基。烷基的示例包括二(三甲基甲硅烷基)甲基、三(三甲基甲硅烷基)甲基和三甲基甲硅烷基甲基。甲硅烷基化合物的示例是三甲基甲硅烷基。酰胺化物的示例包括N,N’-二叔丁基乙酰胺化物、N,N’-二异丙基乙酰胺化物、N,N’-二异丙基-2-叔丁基乙酰胺化物和N,N’-二叔丁基-2-叔丁基乙酰胺化物。β-二酮酸盐的示例包括2,2,6,6-四甲基-3,5-庚二酸盐(THD)、六氟-2,4-戊二酸盐和6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酸盐(FOD)。酮亚胺的示例是2-异丙基亚氨基-4-戊酸盐。硅烷醇化物的示例包括三-叔丁基硅氧化物和三乙基硅氧化物。羧酸盐的示例是2-乙基己酸盐。
D配体的示例包括四氢呋喃、二乙醚、1,2-二甲氧基乙烷、二聚乙烯醚、三聚乙烯醚、四聚乙烯醚、12-冠-6-醚、10-冠-4-醚、吡啶、N-甲基吡咯烷、三乙胺、三甲胺、乙腈和2,2-二甲基丙腈。
稀土前驱体的代表性示例包括:
Y前驱体:Y(N(SiMe3)2)3、Y(N(iPr)2)3、Y(N(tBu)SiMe3)3、Y(TMPD)3、Cp3Y、(MeCp)3Y、((nPr)Cp)3Y、((nBu)Cp)3Y、Y(OCMe2CH2NMe2)3、Y(THD)3、Y[OOCCH(C2H5)C4H9]3、Y(C11H19O2)3CH3(OCH2CH2)3OCH3、Y(CF3COCHCOCF3)3、Y(OOCC10H7)3、Y(OOC10H19)3和Y(O(iPr))3
La前驱体:La(N(SiMe3)2)3、La(N(iPr)2)3、La(N(tBu)SiMe3)3、La(TMPD)3、((iPr)Cp)3La、Cp3La、Cp3La(NCCH3)2、La(Me2NC2H4Cp)3、La(THD)3、La[OOCCH(C2H5)C4H9]3、La(C11H19O2)3·CH3(OCH2CH2)3OCH3、La(C11H19O2)3·CH3(OCH2CH2)4OCH3、La(O(iPr))3、La(OEt)3、La(acac)3、La(((tBu)2N)2CMe)3、La(((iPr)2N)2CMe)3、La(((tBu)2N)2C(tBu))3、La(((iPr)2N)2C(iPr))3和La(FOD)3
Ce前驱体:Ce(N(SiMe3)2)3、Ce(N(iPr)2)3、Ce(N(tBu)SiMe3)3、Ce(TMPD)3、Ce(FOD)3、((iPr)Cp)3Ce、Cp3Ce、Ce(Me4Cp)3、Ce(OCMe2CH2NMe2)3、Ce(THD)3、Ce[OOCCH(C2H5)C4H9]3、Ce(C11H19O2)3CH3(OCH2CH2)3OCH3、Ce(C11H19O2)3·CH3(OCH2CH2)4OCH3、Ce(O(iPr))3和Ce(acac)3
Pr前驱体:Pr(N(SiMe3)2)3、((iPr)Cp)3Pr、Cp3Pr、Pr(THD)3、Pr(FOD)3、(C5Me4H)3Pr、Pr[OOCCH(C2H5)C4H9]3、Pr(C11H19O2)3CH3(OCH2CH2)3OCH3、Pr(O(iPr))3、Pr(acac)3、Pr(hfac)3、Pr(((tBu)2N)2CMe)3、Pr(((iPr)2N)2CMe)3、Pr(((tBu)2N)2C(tBu))3和Pr(((iPr)2N)2C(iPr))3
Nd前驱体:Nd(N(SiMe3)2)3、Nd(N(iPr)2)3、((iPr)Cp)3Nd、Cp3Nd、(C5Me4H)3Nd、Nd(THD)3、Nd[OOCCH(C2H5)C4H9]3、Nd(O(iPr))3、Nd(acac)3、Nd(hfac)3、Nd(F3CC(O)CHC(O)CH3)3和Nd(FOD)3
Sm前驱体:Sm(N(SiMe3)2)3、((iPr)Cp)3Sm、Cp3Sm、Sm(THD)3、Sm[OOCCH(C2H5)C4H9]3、Sm(O(iPr))3、Sm(acac)3和(C5Me5)2Sm。
Eu前驱体:Eu(N(SiMe3)2)3、((iPr)Cp)3Eu、Cp3Eu、(Me4Cp)3Eu、Eu(THD)3、Eu[OOCCH(C2H5)C4H9]3、Eu(O(iPr))3、Eu(acac)3和(C5Me5)2Eu。
Gd前驱体:Gd(N(SiMe3)2)3、((iPr)Cp)3Gd、Cp3Gd、Gd(THD)3、Gd[OOCCH(C2H5)C4H9]3、Gd(O(iPr))3和Gd(acac)3
Tb前驱体:Tb(N(SiMe3)2)3、((iPr)Cp)3Tb、Cp3Tb、Tb(THD)3、Tb[OOCCH(C2H5)C4H9]3、Tb(O(iPr))3和Tb(acac)3
Dy前驱体:Dy(N(SiMe3)2)3、((iPr)Cp)3Dy、Cp3Dy、Dy(THD)3、Dy[OOCCH(C2H5)C4H9]3、Dy(O(iPr))3、Dy(O2C(CH2)6CH3)3和Tb(acac)3
Ho前驱体:Ho(N(SiMe3)2)3、((iPr)Cp)3Ho、Cp3Ho、Ho(THD)3、Ho[OOCCH(C2H5)C4H9]3、Ho(O(iPr))3和Ho(acac)3
Er前驱体:Er(N(SiMe3)2)3、((iPr)Cp)3Er、((nBu)Cp)3Er、Cp3Er、Er(THD)3、Er[OOCCH(C2H5)C4H9]3、Er(O(iPr))3和Er(acac)3
Tm前驱体:Tm(N(SiMe3)2)3、((iPr)Cp)3Tm、Cp3Tm、Tm(THD)3、Tm[OOCCH(C2H5)C4H9]3、Tm(O(iPr))3和Tm(acac)3
Yb前驱体:Yb(N(SiMe3)2)3、Yb(N(iPr)2)3、((iPr)Cp)3Yb、Cp3Yb、Yb(THD)3、Yb[OOCCH(C2H5)C4H9]3、Yb(O(iPr))3、Yb(acac)3、(C5Me5)2Yb、Yb(hfac)3和Yb(FOD)3
Lu前驱体:Lu(N(SiMe3)2)3、((iPr)Cp)3Lu、Cp3Lu、Lu(THD)3、Lu[OOCCH(C2H5)C4H9]3、Lu(O(iPr))3和Lu(acac)3
在上述前驱体以及下面提出的前驱体中,采用以下通用缩写:Si:硅;Me:甲基;Et:乙基;iPr:异丙基;nPr:正丙基;Bu:丁基;nBu:正丁基;sBu:仲丁基;iBu:异丁基;tBu:叔丁基;Cp:环戊二烯基;THD:2,2,6,6-四甲基-3,5-庚二酸盐;TMPD:2,2,6,6-四甲基哌啶;acac:乙酰基丙酮酸盐;hfac:六氟乙酰基丙酮酸盐;FOD:6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酸盐。
仍参见图1A,含氧气体供给系统46被配置用于将含氧气体引入处理室10。含氧气体可包括O2、H2O或H2O2或其组合,以及任选的例如Ar的惰性气体。类似地,含氮气体供给系统48被配置用于将含氮气体引入处理室10。含氮气体可包括NH3、N2H4或其组合,以及任选的例如Ar的惰性气体。根据本发明的一种实施方式,含氧气体或含氮气体可包括NO、NO2或N2O或其组合,以及任选的例如Ar的惰性气体。
本发明的实施方式可以使用各种不同的铝前驱体,用于将铝结合到混合稀土基薄膜中。例如,许多铝前驱体具有下式:
                       AlL1L2L3Dx
其中,L1、L2、L3为独立的阴离子配体;D为中性供体配体,其中x可为0、1或2。L1、L2、L3配体可各自独立地选自烷氧化物、卤化物、芳氧化物、酰胺、环戊二烯基、烷基、甲硅烷基、酰胺化物、β-二酮酸盐、酮亚胺(ketoiminate)、硅烷醇化物和羧酸盐。D配体可选自醚、呋喃、吡啶、吡咯、吡咯烷、胺、冠醚、聚乙烯醚类和腈。
铝前驱体的其它示例包括:Al2Me6、Al2Et6、[Al(O(sBu))3]4、Al(CH3COCHCOCH3)3、AlBr3、AlI3、Al(O(iPr))3、[Al(NMe2)3]2、Al(iBu)2Cl、Al(iBu)3、Al(iBu)2H、AlEt2Cl、Et3Al2(O(sBu))3和Al(THD)3
仍参见图1A,吹扫气体供给系统44被配置用于将吹扫气体引入处理室10。例如,在向处理室10中引入稀土前驱体与引入含氧气体、含氮气体或铝前驱体之间,可以进行吹扫气体的引入。吹扫气体可包含惰性气体,惰性气体例如为稀有气体(即,He、Ne、Ar、Kr、Xe)、氮(N2)或氢(H2)。
此外,ALD系统1包括衬底温度控制系统60,其耦合至衬底支架20并被配置用于升高和控制衬底25的温度。衬底温度控制系统60包括温度控制元件,例如冷却系统,该冷却系统包括吸收来自衬底支架20的热量的再循环冷却剂流,并将热量转移至换热器系统(未示出),或当加热时,将热量从换热器系统转移出来。此外,温度控制元件可以包括加热/冷却元件,例如电阻加热元件或热电加热器/冷却器,所述加热/冷却元件可以包含在衬底支架20中,也可以包含在及处理室10的室壁和ALD系统1的任何其它部件中。衬底温度控制系统60例如可被配置用于在室温到大约350-550℃的范围内升高和控制衬底温度。或者,衬底温度例如可为约150-350℃。然而可以理解,根据在给定的衬底表面上沉积具体的混合稀土基材料所需的温度来选择衬底温度。
为了改善衬底25与衬底支架20之间的热传递,衬底支架20可包括机械夹紧系统或电夹紧系统(例如静电夹紧系统)以将衬底25固定在衬底支架20的上表面。此外,衬底支架20还可包括衬底背面气体输送系统,其被配置用于将气体引至衬底25的背面,从而改善衬底25与衬底支架20之间的气隙热传导。当需要将衬底的温度控制在高温或低温下时,可以使用这种系统。例如,衬底背面气体系统可包括两区气体分配系统,其中氦气隙压力可在衬底中部与边缘之间独立地变化。
此外,处理室10还通过管道38耦合至压力控制系统32,压力控制系统32包括真空泵送系统34和阀36,其中压力控制系统32被配置用于将处理室10可控地排空,达到适于在衬底25上形成薄膜并适合使用第一和第二处理材料的压力。真空泵送系统34可包括泵浦速度能够高达约5000l/s(和更高)的涡轮分子泵(TMP)或低温泵,阀36可包括用于调节室压力的门阀。此外,监测室压力的装置(未示出)可耦合至处理室10。压力测量装置可例如是可从MKS Instruments,Inc.(Andover,MA)购得的628B型Baratron绝对容量压力计。压力控制系统32可例如被配置用于在沉积混合稀土基材料时将处理室压力控制在约0.1-100Torr。
第一材料供给系统40、第二材料供给系统42、吹扫气体供给系统44、含氧气体供给系统46、含氮气体供给系统48和含铝气体供给系统50可包括一个或更多个压力控制装置、一个或更多个流量控制装置、一个或更多个过滤器、一个或更多个阀和/或一个或更多个流量传感器。流量控制装置可包括气动阀、电-机械阀(电磁阀)和/或高速率脉冲气体注射阀。根据本发明的实施方式,气体可以被依次和交替地脉冲进入处理室10,其中每个气体脉冲的长度可为约1-10秒。稀土前驱体的气体脉冲长度例如可为0.3-3秒,例如1秒。铝前驱体的气体脉冲长度例如可为0.1-3秒,例如0.3秒。含氧和含氮气体的气体脉冲长度例如可为0.3-3秒,例如1秒。吹扫气体脉冲长度例如可为1-20秒,例如3秒。示例性脉冲气体注射系统的更多细节描述在待审美国专利申请公开No.2004/0123803中。
仍参见图1A,控制器70可包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到ALD系统1的输入,以及监视来自ALD系统1的输出。此外,控制器可被耦合至处理室10、衬底支架20、上组件30、第一处理材料供给系统40、第二处理材料供给系统42、吹扫气体供给系统44、含氧气体供给系统46、含氮气体供给系统48、含铝气体供给系统50、衬底温度控制系统60、衬底温度控制器60和压力控制系统32,并可以与其交换信息。例如,可以利用存储在存储器中的程序来根据工艺流程激活到沉积系统1的前述组件的输入。控制器70的一个示例是可以从Dell Corporation,Austin,Texas获得的DELLPRECISION WORKSTATION 610TM
然而,控制器70可以实现为通用计算机系统,其响应处理器来执行包含在存储器中的一条或多条指令的一个或多个序列而执行本发明的基于微处理器的处理步骤的一部分或全部。这些指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读取到控制器存储器中。也可以采用多处理布置中的一个或多个处理器作为控制器微处理器,以执行包含在主存储器中的指令序列。在可替换实施方式中,硬连线电路可以用于替代软件指令或者与软件指令相组合。从而,实施例并不限于硬件电路和软件的任何特定组合。
控制器70包括至少一种计算机可读介质或存储器,例如控制器存储器,其用于保存根据本发明的教导编程的指令并用于保存数据结构、表、记录或可能是实现本发明所必需的其他数据。计算机可读介质的示例是致密盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM、或任何其他磁介质、致密盘(例如CD-ROM)、或任何其他光介质、穿孔卡、纸带、或其他具有孔图案的物理介质、载波(下面描述)、或任何其他计算机可读取的介质。
在计算机可读介质中的任何一种或其组合上存储软件,这些软件用于控制控制器70,用于驱动用于实现本发明的一个或多个设备,并且/或者用于使得控制器能够与人类用户交互。这些软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明时执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
计算机代码设备可以是任何可解释的或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。而且,本发明的处理的一部分可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器70的处理器提供以供执行的指令的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。而且,各种形式的计算机可读介质可以用来向控制器的处理器运送一条或多条指令的一个或多个序列以供执行。例如,这些指令最初可以承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并通过网络将指令发送到控制器70。
控制器70可以相对于ALD系统1位于本地,或者其可以相对于ALD系统1位于远处。例如,控制器70可以利用直接连接、内部网、因特网和无线连接中的至少一种与ALD系统1交换数据。控制器70可以耦合到例如在客户位置(即,器件制造者等)处的内部网,或者可以耦合到例如在供应商位置(即,设备制造商)处的内部网。另外,例如,控制器70可以耦合到因特网。此外,另一台计算机(即,控制器、服务器等)可以经由直接连接、内部网和因特网中的至少一种访问例如控制器70以交换数据。本领域技术人员还将意识到,控制器70可以经由无线连接与沉积系统1交换数据。
图1B示出了根据本发明的一种实施方式在衬底上沉积稀土基薄膜的PEALD系统100。PEALD系统100与图1A描述的ALD系统类似,但是还包括等离子体生成系统,该系统被配置用于在向处理室10引入至少一部分气体期间生成等离子体。这使得通过包含O2、H2O、H2O2或其组合的含氧气体形成臭氧和等离子体激发氧。类似地,体可以通过包含N2、NH3或N2H4或其组合的含氮气体,在处理室中形成等离子体激发氮。而且,可以通过包含NO、NO2和N2O或其组合的处理气体形成等离子体激发的氧和氮。等离子体生成系统包括第一功率源52,第一功率源52耦合至工艺室10并且被配置用于将功率耦合至被引入处理室10的气体。第一功率源52可以是可变的功率源,并且可以包括射频(RF)发生器和阻抗匹配网络,还可包括电极,RF功率通过该电极耦合至处理室10中的等离子体。电极可被形成在上组件31中,并且可被配置成与衬底支架20相对。阻抗匹配网络可以被配置用于优化从RF发生器到等离子体的RF功率的传输,这是通过将匹配网络的输出阻抗与处理室(包括电极和等离子体)的输入阻抗相匹配而实现的。例如,阻抗匹配网络用来通过减小反射功率来提高到等离子体处理室10中的等离子体的RF功率的传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员来说是公知的。
或者,第一功率源52可包括RF发生器和阻抗匹配网络,并还可包括天线,例如感应线圈,RF功率通过该天线耦合至处理室10中的等离子体。天线可例如包括螺旋或螺线线圈(例如在感应耦合等离子体源或螺旋天线源中),或者可例如包括扁平线圈(例如在变压器耦合的等离子体源中)。
或者,第一功率源52可包括微波频率发生器,并且还可包括微波天线和微波窗口,微波功率通过该微波天线和微波窗口耦合至处理室10中的等离子体。微波功率的耦合可通过电子回旋共振(ECR)技术实现,或者采用表面波等离子体技术实现,例如缝隙平面天线(Slot PlaneAntenna,SPA),如美国专利No.5024716中所描述。
根据本发明的一种实施方式,PEALD系统100包括衬底偏压生成系统,该系统被配置用于在向处理室10交替引入气体的至少一部分期间生成或辅助生成等离子体(通过衬底支架偏压)。衬底偏压系统可包括衬底功率源54,衬底功率源54耦合到处理室10并且被配置用于将功率耦合到衬底25。衬底功率源54可包括RF发生器和阻抗匹配网络,还可包括电极,RF功率通过该电极耦合到衬底25。电极可以形成在衬底支架20中。例如,衬底支架20可以经由从RF发生器(未示出)通过阻抗匹配网络(未示出)发送到衬底支架20的RF功率被电偏置在某一RF电压。RF偏压的典型频率可为约0.1-100MHz,例如可以为13.56MHz。用于等离子体处理的RF偏压系统对于本领域技术人员来说是公知的。或者,RF功率以多个频率被施加到衬底支架电极。尽管等离子体生成系统和衬底偏压系统在图1中示为分离的实体,但是它们实际上可包括耦合到衬底支架20的一个或更多个功率源。
此外,PEALD系统100包括远程等离子体系统56,用于在等离子体激发气体流入将其暴露于衬底25的处理室10之前,提供含氧气体、含氮气体或其组合并对这些气体进行远程等离子体激发。远程等离子体系统56可例如包括微波频率发生器。处理室压力可为约0.1-10Torr,或约0.2-3Torr。
图2A-2F示意性地示出了根据本发明形成混合稀土基薄膜的脉冲序列。根据本发明的实施方式,使用顺序和交替的脉冲序列来沉积混合稀土基薄膜的不同组分(即,稀土金属元素、铝、氧和氮)。由于ALD和PEALD工艺通常在每个气体脉冲沉积少于一个单层的材料,因此可以通过不同薄膜组分的独立沉积序列形成均匀的材料。根据气体的选择和脉冲序列的组合,可以形成混合稀土材料,包括混合稀土氧化物薄膜、混合稀土氮化物薄膜、混合稀土氧氮化物薄膜、混合稀土铝酸盐薄膜、混合稀土铝氮化物薄膜以及混合稀土铝氧氮化物薄膜。
图2A示出了在步骤202中通过第一稀土前驱体沉积第一稀土元素的脉冲序列200。图2B示出了在步骤212中通过第二稀土前驱体沉积第二稀土元素的脉冲序列210。图2C示出了在步骤222中通过多种稀土前驱体同时沉积多种不同的稀土元素的脉冲序列220。图2D示出了在步骤232中通过暴露于含氧气体将氧结合到混合稀土基薄膜中的脉冲序列230。图2E示出了在步骤242中通过暴露于含氮气体将氮结合到混合稀土基薄膜中的脉冲序列240。图2F示出了在步骤252中通过铝前驱体沉积铝的脉冲序列250。
根据图2A-2F示出的实施方式,每个脉冲序列200、210、220、230、240和250可分别包括吹扫或排空步骤204、214、224、234、244、254以从处理室中去除未反应气体或副产物。根据本发明的另一种实施方式,可以省略吹扫或排空步骤204、214、224、234、244、254中的一个或更多个。
根据本发明的实施方式,可以采用图2A-2F所示的脉冲序列的不同组合来沉积不同的混合稀土基材料。下面是可通过本发明的实施方式的教导沉积的包含两种不同的稀土金属元素的混合稀土基材料的示例。本领域技术人员容易认识到,大量下文未示出的其它稀土基材料也可被沉积。因此,本发明的实施方式并不限于下面所列的材料。例如,其它混合稀土基材料可包含多于两种(例如三种、四种或更多种)的稀土元素。
混合稀土氧化物:LaxLuyOm、YxLuyOm、YxLayOm、NdxLayOm和LaxPryOm
混合稀土氮化物:LaxLuyNn、YxLuyNn、YxLayNn、NdxLayNn和LaxPryNn
混合稀土氧氮化物:LaxLuyOmNn、YxLuyOmNn、YxLayOmNn、NdxLayOmNn和LaxPryOmNn
混合稀土铝氧化物:LaxLuyAlaOm、YxLuyAlaOm、YxLayAlaOm、NdxLayAlaOm和LaxPryAlaOm
混合稀土铝氮化物:LaxLuyAlaNn、YxLuyAlaNn、YxLayAlaNn、NdxLayAlaNn和LaxPryAlaNn
混合稀土铝氧氮化物:LaxLuyAlaOmNn、YxLuyAlaOmNn、YxLayAlaOmNn、NdxLayAlaOmNn和LaxPryAlaOmNn
混合稀土氧化物薄膜
图3A-3D是根据本发明的实施方式的用于形成混合稀土氧化物薄膜的工艺流程图。图3A-3D的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。在图3A中,工艺300开始于步骤302,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤304中,衬底顺序暴露于包含第一稀土前驱体的气体脉冲和含氧气体的气体脉冲。在步骤306中,衬底顺序暴露于第二稀土前驱体的气体脉冲和含氧气体的气体脉冲。含氧气体可包括O2、H2O、H2O2、臭氧、等离子体激发氧或其组合,以及任选地例如Ar的惰性气体。
在步骤304中,第一稀土前驱体与受热衬底表面上的羟基反应,以形成厚度小于一个单层的包含第一稀土金属元素的化学吸附层。所述化学吸附层的厚度小于一个单层,这是因为前驱体的尺寸大于第一稀土金属元素的尺寸。接下来,含氧气体的气体脉冲中的氧与化学吸附表面层反应并生成羟基化的表面。通过重复此顺序的气体暴露,即交替进行这两种暴露数次,可以实现逐层生长,每个循环生长约1埃(10-10m)。如下面将要描述,根据本发明的另一种实施方式,在顺序和交替的气体脉冲之间,可将处理室吹扫或排空,以将任何未反应的第一或第二稀土前驱体、副产物和含氧气体从处理室中去除。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yOm的混合稀土氧化物薄膜,其中x、y和m为非零数。如工艺流程中的箭头308所示,可以重复顺序暴露步骤304和306预定次数,直到形成了具有期望厚度的混合稀土氧化物薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图3A所示的实施方式,工艺流程300包括沉积循环,所述沉积循环包括顺序和交替暴露第一稀土前驱体的脉冲、含氧气体的脉冲、第二稀土前驱体的脉冲和含氧气体的脉冲。根据本发明的另一种实施方式,顺序和交替暴露步骤304、306的次序可以颠倒,即步骤306在步骤304之前进行,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤304和306的每一个可独立地重复预定次数。在一个实施例中,如果步骤304以脉冲序列A表示而步骤306以脉冲序列B表示,则沉积循环可包括AB,其中AB可重复预定次数(即,ABABAB等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括ABBABB、AABAAB、ABBB、AAAB、AABB、AAABB,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A和B的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素的稀土氧化物薄膜。
根据本发明的另一种实施方式,为了形成包含三种或更多种不同的稀土金属元素的混合稀土氧化物薄膜,可以在图3A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氧气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氧气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABC、ABBC、ABCC等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和C的其它组合。
图3B为根据本发明的另一种实施方式的形成混合稀土氧化物薄膜的工艺流程图。工艺流程320与图3A的工艺流程310类似,只是工艺流程320还包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在顺序和交替的稀土前驱体与含氧气体脉冲之间从处理室去除任何未反应的稀土前驱体、副产物和含氧气体。在本文中,吹扫步骤还可包括在吹扫过程中排空处理室。
工艺320开始于步骤322,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤324中,衬底被暴露于第一稀土前驱体衬底的气体脉冲,在步骤326中,处理室被吹扫或排空以从处理室去除未反应的第一稀土前驱体和任何副产物。在步骤328中,衬底被暴露于含氧气体的脉冲,在步骤330中,处理室被吹扫或排空以从处理室去除任何未反应的含氧气体或副产物。
在步骤332中,衬底被暴露于包含第二稀土前驱体的气体脉冲,在步骤334中,处理室被吹扫或排空以从处理室去除任何未反应的第二稀土前驱体和任何副产物。在步骤336中,衬底被暴露于含氧气体的脉冲,在步骤338中,处理室被吹扫或排空以从处理室去除任何未反应的含氧气体或副产物。类似于图3A的工艺流程300,工艺流程320的暴露步骤324-330可如工艺流程箭头340所示重复预定次数,并暴露步骤332-338可如工艺流程箭头342所示重复预定次数。根据本发明的一种实施方式,暴露步骤324-330与步骤332-338的组合可重复预定次数,如工艺流程箭头344所示。
图3C是根据本发明的另一种实施方式的形成混合稀土氧化物薄膜的工艺流程图。如图3C所示,工艺350开始于步骤352,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤354中,衬底被暴露于包含多种(即至少两种)具有不同稀土金属元素的稀土前驱体的气体脉冲。因此,所述气体脉冲包含多种不同的将被沉积在衬底上的稀土金属元素。可以独立地控制气体脉冲中每种稀土前驱体的相对浓度,以调节得到的混合稀土氧化物薄膜的组成。在步骤356中,衬底被暴露于含氧气体的脉冲。根据本发明的一种实施方式,如工艺流程箭头358所示,顺序暴露步骤354和356可重复预定次数。
图3D是根据本发明的另一种实施方式的形成混合稀土氧化物薄膜的工艺流程图。工艺流程360类似于图3C的工艺流程350,只是还包括在每个气体脉冲后吹扫或排空处理室的步骤。工艺360开始于步骤362,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤364中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲,在步骤366中,处理室被吹扫或排空以从处理室去除未反应的稀土前驱体和任何副产物。在步骤368中,衬底被暴露于含氧气体的脉冲,在步骤370中,处理室被吹扫或排空以从处理室去除任何过量的含氧气体或副产物。根据本发明的一种实施方式,暴露步骤364-370与可重复预定次数,如工艺流程箭头372所示。
混合稀土氮化物薄膜
图4A-4B是根据本发明的实施方式的用于形成混合稀土氮化物薄膜的工艺流程图。图4A-4B的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。
在图4A中,工艺400开始于步骤402,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤404中,衬底被顺序暴露于包含第一稀土前驱体的气体脉冲和含氮气体的气体脉冲。在步骤406中,衬底被顺序暴露于第二稀土前驱体的气体脉冲和含氮气体的气体脉冲。含氮气体可包括NH3、N2H4、等离子体激发氮或其组合,以及任选地例如Ar的惰性气体。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yNn的混合稀土氮化物薄膜,其中x、y和n为非零数。如工艺流程中的箭头408所示,可以重复顺序暴露步骤404和406预定次数,直到形成了具有期望厚度的混合稀土氮化物薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图4A所示的实施方式,工艺流程400包括沉积循环,所述沉积循环包括顺序和交替暴露第一稀土前驱体的脉冲、含氮气体的脉冲、第二稀土前驱体的脉冲和含氮气体的脉冲。根据本发明的一种实施方式,工艺流程400可包括任意次序的步骤404、406、408。根据本发明的另一种实施方式,顺序和交替暴露步骤404、406的次序可以颠倒,即步骤406在步骤404之前进行,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤404和406的每一个可独立地重复预定次数。在一个实施例中,如果步骤404以脉冲序列A表示而步骤406以脉冲序列B表示,则沉积循环可包括AB,其中AB可重复预定次数(即,ABABAB等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括ABBABB、AABAAB、ABBB、AAAB、AABB、AAABB,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A和B的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素的稀土氮化物薄膜。
根据本发明的另一种实施方式,为了形成包含三种或更多种不同的稀土金属元素的混合稀土氮化物薄膜,可以在图4A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氮气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氮气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABC、ABBC、ABCC等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和C的其它组合。
根据本发明的另一种实施方式,类似于图3B的工艺流程320,工艺流程400还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的稀土前驱体与含氮气体脉冲之间从处理室去除任何未反应的稀土前驱体、副产物和含氮气体。
图4B为根据本发明的另一种实施方式的形成混合稀土氮化物薄膜的工艺流程图。如图4B所示,工艺410开始于步骤412,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤414中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲。因此,所述气体脉冲包含多种不同的将被沉积在衬底上的稀土金属元素。可以独立地控制气体脉冲中每种稀土前驱体的相对浓度,以调节得到的混合稀土氮化物薄膜的组成。在步骤416中,衬底被暴露于含氮气体的脉冲。根据本发明的一种实施方式,如工艺流程箭头418所示,顺序暴露步骤414和416可重复预定次数。
根据本发明的另一种实施方式,类似于图3D的工艺流程360,工艺流程410还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的气体脉冲之间从处理室去除任何未反应的稀土前驱体、副产物和含氮气体。
混合稀土氧氮化物薄膜
图5A-5B是根据本发明的实施方式的用于形成混合稀土氧氮化物薄膜的工艺流程图。图5A-5B的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。
在图5A中,工艺500开始于步骤502,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤504中,衬底被顺序暴露于包含第一稀土前驱体的气体脉冲和含氧气体、含氮气体或含氧和氮的气体的气体脉冲。在步骤506中,衬底被顺序暴露于第二稀土前驱体的气体脉冲和含氧气体、含氮气体或含氧和氮的气体的气体脉冲。含氧气体可包括O2、H2O、H2O2、NO、NO2、N2O、臭氧、等离子体激发氧或其组合,以及任选地例如Ar的惰性气体。含氮气体可包括NH3、N2H4、NO、NO2、N2O、等离子体激发氮或其组合,以及任选地例如Ar的惰性气体。为了将氧和氮结合到薄膜中,步骤504和506的组合应当包括至少一种含氧的气体脉冲和至少一种含氮的气体脉冲。显然,包括NO、NO2或N2O的气体既含氧也含氮。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yOmNn的混合稀土氧氮化物薄膜,其中x、y、m和n为非零数。如工艺流程中的箭头508所示,可以重复顺序暴露步骤504和506预定次数,直到形成了具有期望厚度的混合稀土氧氮化物薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图5A所示的实施方式,工艺流程500包括沉积循环,所述沉积循环包括顺序和交替暴露第一稀土前驱体的脉冲、含氧、含氮或含氧和氮的气体的脉冲、第二稀土前驱体的脉冲和含氧、含氮或含氧和氮的气体的脉冲。根据本发明的另一种实施方式,顺序和交替暴露步骤504、506的次序可以颠倒,即步骤506在步骤504之前进行,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤504和506的每一个可独立地重复预定次数。在一个实施例中,如果步骤504以脉冲序列A表示而步骤506以脉冲序列B表示,则沉积循环可包括AB,其中AB可重复预定次数(即,ABABAB等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括ABBABB、AABAAB、ABBB、AAAB、AABB、AAABB,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A和B的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素、氧、氮的稀土氧氮化物薄膜。
根据本发明的另一种实施方式,为了形成包含三种或更多种不同的稀土金属元素的混合稀土氧氮化物薄膜,可以在图5A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABC、ABBC、ABCC等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和C的其它组合。
根据本发明的另一种实施方式,类似于图3B的工艺流程320,工艺流程500还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的稀土前驱体与含氧和含氧的气体脉冲之间从处理室去除任何未反应的稀土前驱体、副产物、含氧气体和含氮气体。
图5B为根据本发明的另一种实施方式的形成混合稀土氧氮化物薄膜的工艺流程图。如图5B所示,工艺510开始于步骤512,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤514中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲。因此,所述气体脉冲包含多种(即至少两种)不同的将被沉积在衬底上的稀土金属元素。可以独立地控制气体脉冲中每种稀土前驱体的相对浓度,以调节得到的混合稀土氧氮化物薄膜的组成。在步骤516中,衬底被暴露于含氧气体、含氮气体或含氧和氮的气体的脉冲。根据本发明的一种实施方式,如工艺流程箭头518所示,顺序暴露步骤514和516可重复预定次数。为了将氧和氮结合到薄膜中,步骤514和516的组合应当包括至少一种含氧的气体脉冲和至少一种含氮的气体脉冲。
根据本发明的另一种实施方式,类似于图3D的工艺流程360,工艺流程510还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的气体脉冲之间从处理室去除任何未反应的稀土前驱体、副产物含氧气体或含氮气体。
混合稀土铝酸盐薄膜
图6A-6B是根据本发明的实施方式的用于形成混合稀土铝酸盐薄膜的工艺流程图。图6A-6B的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。
在图6A中,工艺600开始于步骤602,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤604中,衬底被顺序暴露于包含第一稀土前驱体的气体脉冲和含氧气体的气体脉冲。在步骤606中,衬底被顺序暴露于第二稀土前驱体的气体脉冲和含氧气体的气体脉冲。在步骤608中,衬底被顺序暴露于铝前驱体的气体脉冲和含氧气体的气体脉冲。含氧气体可包括O2、H2O、H2O2、臭氧、等离子体激发氧或其组合,以及任选地例如Ar的惰性气体。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yAlaOm的混合稀土铝酸盐薄膜,其中x、y、a和m为非零数。如工艺流程中的箭头614所示,可以重复顺序暴露步骤604、606和608预定次数,直到形成了具有期望厚度的混合稀土铝酸盐薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图6A所示的实施方式,工艺流程600包括沉积循环,所述沉积循环包括顺序和交替暴露第一稀土前驱体的脉冲、含氧气体的脉冲、第二稀土前驱体的脉冲、含氧气体的脉冲、铝前驱体的脉冲和含氧气体的脉冲。根据本发明的另一种实施方式,顺序和交替暴露步骤604、606、608的次序可以改变,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤604、606和608的每一个可独立地重复预定次数。在一个实施例中,如果步骤604以脉冲序列A表示、步骤606以脉冲序列B表示而步骤608以脉冲序列X表示,则沉积循环可包括ABX,其中ABX可重复预定次数(即,ABXABXABX等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括AABXAABX、ABBXABBX、ABXXABXX、AABXABBX,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和X的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素以及铝的稀土铝酸盐薄膜。
根据本发明的另一种实施方式,为了形成包含三种或更多种不同的稀土金属元素的混合稀土铝酸盐薄膜,可以在图6A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氧气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氧气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B、C和X的其它组合。
根据本发明的另一种实施方式,工艺流程600还包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的稀土前驱体、含氧气体和含铝气体的脉冲之间从处理室去除任何未反应的稀土前驱体、副产物、铝前驱体和含氧气体。
如工艺流程箭头612所示,暴露步骤604和606可顺序重复预定次数,如工艺流程箭头610所示,暴露步骤606和608可顺序重复预定次数。此外,如工艺流程箭头614所示,暴露步骤604、606和608可重复预定次数。
图6B为根据本发明的另一种实施方式的形成混合稀土铝酸盐薄膜的工艺流程图。如图6B所示,工艺620开始于步骤622,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤624中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲和含氧气体的气体脉冲。可以独立地控制每种稀土前驱体的相对浓度,以调节得到的混合稀土铝酸盐薄膜的组成。在步骤626中,衬底被顺序暴露于铝前驱体的气体脉冲和含氧气体的气体脉冲。根据本发明的一种实施方式,如工艺流程箭头628所示,顺序暴露步骤624和626可重复预定次数。此外,暴露步骤624和626可各自独立地重复预定次数。
根据本发明的另一种实施方式,工艺流程620还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于从处理室去除任何未反应的稀土前驱体、副产物、含氧气体和铝前驱体。
混合稀土铝氮化物薄膜
图7A-7B是根据本发明的实施方式的用于形成混合稀土铝氮化物薄膜的工艺流程图。图7A-7B的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。
在图7A中,工艺700开始于步骤702,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤704中,衬底被顺序暴露于包含第一稀土前驱体的气体脉冲和含氮气体的气体脉冲。在步骤706中,衬底被顺序暴露于第二稀土前驱体的气体脉冲和含氮气体的气体脉冲。在步骤708中,衬底被顺序暴露于铝前驱体的气体脉冲和含氮气体的气体脉冲。含氮气体可包括NH3、N2H4、等离子体激发氮或其组合,以及任选地例如Ar的惰性气体。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yAlaNn的混合稀土铝氮化物薄膜,其中x、y、a和n为非零数。如工艺流程中的箭头714所示,可以重复顺序暴露步骤704、706和708预定次数,直到形成了具有期望厚度的混合稀土铝氮化物薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图7A所示的实施方式,工艺流程700包括沉积循环,所述沉积循环包括顺序和交替暴露第一稀土前驱体的脉冲、含氮气体的脉冲、第二稀土前驱体的脉冲、含氮气体的脉冲、铝前驱体的脉冲和含氮气体的脉冲。根据本发明的另一种实施方式,顺序和交替暴露步骤704、706、708的次序可以改变,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤704、706和708的每一个可独立地重复预定次数。在一个实施例中,如果步骤704以脉冲序列A表示、步骤706以脉冲序列B表示、而步骤708以脉冲序列X表示,则沉积循环可包括ABX,其中ABX可重复预定次数(即,ABXABXABX等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括AABXAABX、ABBXABBX、ABXXABXX、AABXABBX,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和X的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素以及铝的稀土铝氮化物薄膜。
根据本发明的另一种实施方式,为了形成包含多种不同的稀土金属元素的混合稀土铝氮化物薄膜,可以在图7A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氮气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氮气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B、C和X的其它组合。
根据本发明的另一种实施方式,为了形成包含多种不同的稀土金属元素的混合稀土氧化物薄膜,可以在图7A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氮气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氧气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B、C和X的其它组合。
根据本发明的另一种实施方式,工艺流程700还包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的稀土前驱体、含氮气体和含铝气体的脉冲之间从处理室去除任何未反应的稀土前驱体、副产物、铝前驱体和含氮气体。
如工艺流程箭头712所示,暴露步骤704和706可顺序重复预定次数,如工艺流程箭头710所示,暴露步骤706和708可顺序重复预定次数。此外,如工艺流程箭头714所示,暴露步骤704、706和708可重复预定次数。
图7B为根据本发明的另一种实施方式的形成混合稀土铝氮化物薄膜的工艺流程图。如图7B所示,工艺720开始于步骤722,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤724中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲和含氮气体的气体脉冲。可以独立地控制每种稀土前驱体的相对浓度,以调节得到的混合稀土铝氮化物薄膜的组成。在步骤726中,衬底被顺序暴露于铝前驱体的气体脉冲和含氮气体的气体脉冲。根据本发明的一种实施方式,如工艺流程箭头728所示,顺序暴露步骤724和726可重复预定次数。
根据本发明的另一种实施方式,工艺流程720还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于从处理室去除任何未反应的稀土前驱体、副产物、含氮气体和铝前驱体。
混合稀土铝氧氮化物薄膜
图8A-8B是根据本发明的实施方式的用于形成混合稀土铝氧氮化物薄膜的工艺流程图。图8A-8B的工艺流程可通过图1/2的ALD/PEALD系统1/101实施,或通过被配置用于进行ALD/PEALD工艺的任何其它合适的ALD/PEALD系统实施。
在图8A中,工艺800开始于步骤802,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。在步骤804中,衬底被顺序暴露于包含第一稀土前驱体的气体脉冲和含氧气体、含氮气体或含氧和氮的气体的气体脉冲。在步骤806中,衬底被顺序暴露于第二稀土前驱体的气体脉冲和含氧气体、含氮气体或含氧和氮的气体的气体脉冲。在步骤808中,衬底被顺序暴露于铝前驱体的气体脉冲和含氧气体、含氮气体或含氧和氮的气体的气体脉冲。含氧气体可包括O2、H2O、H2O2、NO、NO2、N2O、臭氧、等离子体激发氧或其组合,以及任选地例如Ar的惰性气体。含氮气体可包括NH3、N2H4、NO、NO2、N2O、等离子体激发氮或其组合,以及任选地例如Ar的惰性气体。为了将氧和氮结合到薄膜中,步骤804和806的组合应当包括至少一种含氧的气体脉冲和至少一种含氮的气体脉冲。显然,包括NO、NO2或N2O的气体既含氧也含氮。
根据本发明的实施方式,第一稀土(RE1)前驱体和第二稀土(RE2)前驱体包含不同的稀土金属元素,用于形成化学通式为RE1xRE2yAlaOmNn的混合稀土铝氧氮化物薄膜,其中x、y、a、m和n为非零数。如工艺流程中的箭头814所示,可以重复顺序暴露步骤804、806和808预定次数,直到形成了具有期望厚度的混合稀土铝氧氮化物薄膜。期望的薄膜厚度可依赖于半导体器件的类型或所形成的器件区域。例如,薄膜厚度可为约5-200埃,或约5-40埃。
根据图8A所示的实施方式,工艺流程800包括沉积循环,所述沉积循环包括顺序和交替暴露于:第一稀土前驱体的脉冲,含氧、含氮或含氧和氮的气体的脉冲,第二稀土前驱体的脉冲,含氧、含氮或含氧和氮的气体的脉冲,铝前驱体的脉冲,和含氧、含氮或含氧和氮的气体的脉冲。根据本发明的另一种实施方式,顺序和交替暴露步骤804、806、808的次序可以改变,从而影响薄膜生长和薄膜组成。
根据本发明的一种实施方式,顺序暴露步骤804、806和808的每一个可独立地重复预定次数。在一个实施例中,如果步骤804以脉冲序列A表示、步骤806以脉冲序列B表示而步骤808以脉冲序列X表示,则沉积循环可包括ABX,其中ABX可重复预定次数(即,ABXABXABX等),直到形成期望的薄膜。本领域技术人员容易认识到,可以采用各种其它的沉积循环,例如包括AABXAABX、ABBXABBX、ABXXABXX、AABXABBX,等等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B和X的任意组合。使用这些不同的沉积循环,可以沉积出含有不同量和不同深度剖面的第一和第二稀土元素、铝、氮和氧的稀土铝氧氮化物薄膜。
根据本发明的另一种实施方式,为了形成包含三种或更多种不同的稀土金属元素的混合稀土铝氧氮化物薄膜,可以在图8A所示的工艺流程中增加额外的脉冲序列,这些脉冲序列包含含有不同稀土元素的额外稀土前驱体。换言之,对于每种额外结合到薄膜中的稀土金属元素,通过增加包含稀土前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲的脉冲序列,将额外的稀土元素结合到薄膜中。在一个实施例中,可以增加包含第三稀土前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲的脉冲序列C。因此,一个沉积循环可例如包括ABCX、ABBCX、ABCCX等。然而,本发明的实施方式并不限于这些沉积循环,因为可以采用A、B、C和X的其它组合。根据本发明的另一种实施方式,工艺流程800还包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于在交替的稀土前驱体、含氧气体、含氮气体和含铝气体的脉冲之间从处理室去除任何未反应的稀土前驱体、副产物、铝前驱体、含氧气体和含氮气体。
如工艺流程箭头812所示,暴露步骤804和806可顺序重复预定次数,如工艺流程箭头810所示,暴露步骤806和808可顺序重复预定次数。此外,如工艺流程箭头814所示,暴露步骤804、806和808可重复预定次数。
图8B为根据本发明的另一种实施方式的形成混合稀土铝氧氮化物薄膜的工艺流程图。如图8B所示,工艺820开始于步骤822,其中衬底(例如半导体衬底)被布置在ALD或PEALD系统的处理室中。
在步骤824中,衬底被暴露于包含多种具有不同稀土金属元素的稀土前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲。可以独立地控制每种稀土前驱体的相对浓度,以调节得到的混合稀土铝氧氮化物薄膜的组成。在步骤826中,衬底被顺序暴露于铝前驱体的气体脉冲和含氧、含氮或含氧和氮的气体的气体脉冲。根据本发明的一种实施方式,如工艺流程箭头828所示,顺序暴露步骤824和826可重复预定次数。
根据本发明的另一种实施方式,工艺流程820还可包括在每个气体脉冲后吹扫或排空处理室的步骤。吹扫或排空步骤可有助于从处理室去除任何未反应的稀土前驱体、副产物、含氧气体、含氮气体和铝前驱体。
图9A和9B示意性地示出了根据本发明的实施方式的包含混合稀土基材料的半导体器件的剖视图。在示意性剖视图中,并未示出场发射晶体管(FET)90和91的源区和漏区。图9A中的FET90包括半导体衬底92、用作栅极电介质的混合稀土基薄膜96以及薄膜96上的导电栅电极薄膜98。混合稀土基薄膜96可包含多种(即至少两种)不同的稀土金属元素,所述稀土元素选自Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm和Yb。混合稀土基薄膜96可以是混合稀土氧化物薄膜、混合稀土氮化物薄膜、混合稀土氧氮化物薄膜、混合稀土铝酸盐薄膜、混合稀土铝氮化物薄膜或混合稀土铝氧氮化物薄膜。混合稀土基薄膜96的厚度可为约5-200埃,或约5-40埃。
FET 90还包括栅电极薄膜98,其厚度可例如为约5-10nm并且可包含多晶硅、金属或含金属的材料,所述金属或含金属的材料包括W、WN、WSix、Al、Mo、Ta、TaN、TaSiN、HfN、HfSiN、Ti、TiN、TiSiN、Mo、MoN、Re、Pt或Ru。
图9B的FET91与图9A的FET90类似,只是还包括位于混合稀土基薄膜96与衬底92之间的界面层94。界面层94可例如是氧化物层、氮化物层或氧氮化物层。
根据本发明的其它实施方式,半导体器件可包括含有混合稀土基材料的电容器。
尽管以上只是详细描述了本发明的某些实施例,但是本领域技术人员很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下,可以对示例性实施例进行许多修改。因此,所有的这种修改均包含在本发明的范围内。

Claims (9)

1.一种形成混合稀土铝酸盐薄膜的方法,包括:
a)将衬底布置在处理室中;
b)按顺序,第一,将所述衬底暴露于包含多种稀土前驱体的气体脉冲,其中每种稀土前驱体包含不同的稀土金属元素;第二,将所述衬底暴露于包含含氧气体的气体脉冲;
c)按顺序,第一,将所述衬底暴露于包含铝前驱体的气体脉冲;第二,将所述衬底暴露于包含含氧气体的气体脉冲;和
d)重复步骤b)-c)期望次数,以沉积具有期望厚度的混合稀土铝酸盐薄膜,其中所述不同的稀土金属元素中的至少两种具有不同的并且失配的原子尺寸,并且以固溶体存在于所述混合稀土铝酸盐薄膜中,并且其中,所述混合稀土铝酸盐薄膜的介电常数大于包含所述不同的稀土金属元素中的仅仅一种的铝酸盐薄膜的介电常数。
2.如权利要求1的方法,其中,所述至少两种稀土金属元素选自Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm和Yb。
3.如权利要求1的方法,其中,所述含氧气体包括O2、H2O、H2O2、臭氧或等离子体激发氧或其中两种或更多种的组合。
4.如权利要求1的方法,还包括:在b)或c)中至少一个之后吹扫或排空所述处理室。
5.如权利要求1的方法,还包括:在b)或c)中至少一个中的第一和第二暴露步骤之间吹扫或排空所述处理室。
6.一种形成混合稀土铝酸盐薄膜的方法,包括:
a)将衬底布置在处理室中;
b)将所述衬底暴露于包含多种稀土前驱体的气体脉冲,其中每种稀土前驱体包含不同的稀土金属元素并包含铝前驱体;
c)将所述衬底暴露于含氧气体的气体脉冲;和
c)重复步骤b)-c)期望次数,以沉积具有期望厚度的混合稀土铝酸盐薄膜,其中所述不同的稀土金属元素中的至少两种具有不同的并且失配的原子尺寸,并且以固溶体存在于所述混合稀土铝酸盐薄膜中,并且其中,所述混合稀土铝酸盐薄膜的介电常数大于包含所述不同的稀土金属元素中的仅仅一种的铝酸盐薄膜的介电常数。
7.如权利要求6的方法,其中,所述稀土金属元素选自Y、Lu、La、Ce、Pr、Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm和Yb。
8.如权利要求6的方法,其中,所述含氧气体包括O2、H2O、H2O2、臭氧或等离子体激发氧或其中两种或更多种的组合。
9.如权利要求6的方法,还包括:在至少一个暴露步骤之后吹扫或排空所述处理室。
CN2007800201206A 2006-03-31 2007-03-28 通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法 Expired - Fee Related CN101460658B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/278,387 2006-03-31
US11/278,387 US20070237697A1 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
PCT/US2007/065342 WO2007115029A2 (en) 2006-03-31 2007-03-28 Method of forming mixed rare earth oxide and mixed rare earth aluminate films by atomic layer deposition

Publications (2)

Publication Number Publication Date
CN101460658A CN101460658A (zh) 2009-06-17
CN101460658B true CN101460658B (zh) 2011-11-09

Family

ID=38178054

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800201206A Expired - Fee Related CN101460658B (zh) 2006-03-31 2007-03-28 通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法

Country Status (6)

Country Link
US (1) US20070237697A1 (zh)
JP (1) JP2009532881A (zh)
KR (2) KR20140022454A (zh)
CN (1) CN101460658B (zh)
TW (1) TW200813249A (zh)
WO (1) WO2007115029A2 (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6151335B2 (ja) * 2011-01-14 2017-06-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171960B2 (en) * 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN105386006B (zh) * 2015-11-11 2017-09-22 南通大学 前驱体时间分隔式制备镓酸铋薄膜的方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095185A1 (ko) * 2015-12-03 2017-06-08 인천대학교 산학협력단 기능성 필터 및 그 제조방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7114072B2 (ja) * 2018-12-06 2022-08-08 株式会社高純度化学研究所 ビス(アルキルテトラメチルシクロペンタジエニル)亜鉛、化学蒸着用原料、および亜鉛を含有する薄膜の製造方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220042172A1 (en) * 2020-08-07 2022-02-10 Lawrence Livermore National Security, Llc System and method for atomic layer deposition of rare-earth oxides on optical grade materials for laser gain media
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030072882A1 (en) * 2001-08-03 2003-04-17 Jaakko Niinisto Method of depositing rare earth oxide thin films

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
SG99871A1 (en) * 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US7273657B2 (en) * 2000-08-08 2007-09-25 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
WO2002071463A1 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6770923B2 (en) * 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
EP1294021A1 (de) * 2001-08-31 2003-03-19 Infineon Technologies AG Kondensatoreinrichtung für eine Halbleiterschaltungsanordnung und Verfahren zu deren Herstellung
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
JP3756456B2 (ja) * 2002-03-07 2006-03-15 富士通株式会社 半導体装置の製造方法
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6680130B2 (en) * 2002-05-28 2004-01-20 Agere Systems, Inc. High K dielectric material and method of making a high K dielectric material
JP3790751B2 (ja) * 2002-07-19 2006-06-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 電子デバイス及び電界効果型トランジスタ・デバイス
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
JP2004111741A (ja) * 2002-09-19 2004-04-08 Semiconductor Leading Edge Technologies Inc 半導体装置及び半導体装置の製造方法
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6828200B2 (en) * 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4357224B2 (ja) * 2003-07-22 2009-11-04 株式会社東芝 半導体装置
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
JP4483364B2 (ja) * 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2005340721A (ja) * 2004-05-31 2005-12-08 Anelva Corp 高誘電率誘電体膜を堆積する方法
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030072882A1 (en) * 2001-08-03 2003-04-17 Jaakko Niinisto Method of depositing rare earth oxide thin films

Also Published As

Publication number Publication date
KR101366541B1 (ko) 2014-02-25
JP2009532881A (ja) 2009-09-10
CN101460658A (zh) 2009-06-17
KR20140022454A (ko) 2014-02-24
TW200813249A (en) 2008-03-16
WO2007115029A3 (en) 2007-11-29
KR20080110883A (ko) 2008-12-19
WO2007115029A2 (en) 2007-10-11
US20070237697A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
CN101460658B (zh) 通过原子层沉积形成混合稀土氧化物和铝酸盐薄膜的方法
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US10790149B2 (en) Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
CN102575344B (zh) 含金属‑硅膜的脉冲化学气相沉积
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US20100237395A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111109

Termination date: 20180328

CF01 Termination of patent right due to non-payment of annual fee