TW200813249A - Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition - Google Patents

Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition Download PDF

Info

Publication number
TW200813249A
TW200813249A TW096110747A TW96110747A TW200813249A TW 200813249 A TW200813249 A TW 200813249A TW 096110747 A TW096110747 A TW 096110747A TW 96110747 A TW96110747 A TW 96110747A TW 200813249 A TW200813249 A TW 200813249A
Authority
TW
Taiwan
Prior art keywords
rare earth
gas
substrate
film
oxygen
Prior art date
Application number
TW096110747A
Other languages
English (en)
Inventor
Robert D Clark
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200813249A publication Critical patent/TW200813249A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

200813249 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用於形成半導體製造用之介電材料的方 法,更詳言之,係關於一種形成一高介電常數之混合稀土氧化物 及鋁酸鹽薄膜之方法,該薄膜含有多種不同的稀土金屬元素。
【交叉參考之相關申請案】 本申請案係關於同在審查中之美國專利申請案號 11/278,393(代理人備忘錄號 TTCA-127B),案名「METHOD OF FORMING MIXED RARE EARTH NITRIDE AND ALUMINUM NITRIDE FILMS BY ATOMIC LAYER DEPOSITION」,於同日提 申;同在審查中之美國專利申請案號11/278,396(代理人備忘錄號 TTCA-127C),案名「METHOD OF FORMING MIXED RARE EARTH OXYNITRIDE AND ALUMINUM OXYNITRIDE FILMS BY ATOMIC LAYER DEPOSITION」於同曰提申;同在審查中之 美國專利申請案號ΧΧ/ΧΧΧ,ΧΧΧ(代理人備忘錄號 TTCA-127D),案名「SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS” 於同日提申; 及同在審查中之美國專利申請案號χχ/χχχ,χχχ(代理人備
忘錄號 TTCA-127E),案名「SEMICONDUCTORDEVICES WITH GATE DIELECTRIC CONTAINING ALUMINIUM AND MIXED rareearthelements」於同日提申。該等申請案的完整内容 併入於此以茲來昭。 【先前技術】 數(high姻料’於電子裝置之未來世代,希望用作 及口^介,層。用作為電容介·之第1個高 物材料已容在化銘材料。目前,混合的铪銘氧化 物材科已Η P示在DRAM生產中作為電容介電層。同樣地,給系介 813249 :層5待進入作為閘極介電層 及石夕氧氮化物材料。 9 產,俾取代目前的碎氧化物 隶普通的高介雷營者人
於PVD及CVD的好處,‘及冗,ALD)。使用ALD優 橫跨該晶圓之均句度改$, 專的薄膜的厚度控制改良、 均勻度改良。 义1在阿的見深比咖口6以加丨〇)結構的 該原子層沉積處理,包含· 開的反應性氣流的脈衝,其中,該板之處理室通入分 或排空(evacuate)來分開衝的月=以猎2洗排、淨(purege) 附層形成在該晶圓之表面上 二自我限制之化學吸 反應性氣流嫌2二 = 好控制之次-單層或接近單層成長。紐細_衣會传到輕良 物。表例’為沉積來自於三曱基銘及水之球1)氧化 反應,、以料Λ理/ Γf基銘之脈衝將與經加熱基_面的經基 自我限制至小於-單芦。和座臉〜子及附層 ^ 0該反應脸至接者被沖洗排淨或排空以移 及任何氣相反應副產物。—水氣脈衝接著 、/、v该表面紹-甲基鍵結反應,並再度產生一經化表面。 耩由一稷該以上沉積循環,能夠在每一循環實現約j埃⑽。叫之 逐層薄膜成長。.藉由選擇不同的反應性前驅物及氣體,能夠使用 ALD處理沉積許多不同類型的薄膜。 目别所評估中之高介電常數介電材料遭遇了各種問題。某些 遇到的問通;包含·於回火時發生薄膜結晶化、於沉積及進一步 的處理期間成長界面層、界面陷阱之高密度、通道移動性降低、 與多晶石夕閘極反應,及藉由金屬閘極施行之費米能階釘扎(Feraii level pinning)。為了緩和這些效應,最近被提出之策略為:使用混 合的锆(Zr)及铪(Hi)氧化物作為高介電常數介電層。此等介電層之 200813249 Ιί特純^f化物或純班氧化物,包含熱安定性, 寸f生改良。雖然貢獻於此等改 廿6 曰力ϋ 用該混合的Zr及Hf氧化物,會梦王,解開’使 及錯及氧化物的完全互雜。目及給之類慨學性質, 的其他問題,包含相較於先進的所遇到 料及在额料,數介電持 菩要對於形成高介電常數介電材料,以作Α丰心壯 置例如電容及電晶體中的閘極介電層,進—步賴I為丰㈣裳 【發明内容】 本發明之實施例提供一種方法 包含多種不同的稀土金屬轉的ΐί Γί ;,;Y、LU、La、Ce、Pr,、Sm、Eu'Gd 膜=於,;t:辦土氧化物-呂 世代數材料以用作為電容^極介ίίίί包括了次 依妝本發明之一實施例,提供_插士 在-基板上沉積而形成一現合稀:金屬氧化物 ™rr,^ ϊί ΪίϊΓ弟稀土前驅物之氣體脈衝,第2暴露 ζ 祕—包含—含氧氣體之氣體脈衝;b)接連地 細触弟祕步驟:將該基板暴露於-包含—第2稀土前驅物 步驟:_基板暴露於—包含含氧氣體之 f ΐ,ί丄 第2稀土前驅物各包含-不同的稀土 if 3 ’ ii透f性地’接連地進行’第1暴露步驟:將該基 板暴鉻於-包§ -細驅物之氣體脈衝,第2暴露步驟:將該基 200813249 ί^ί 包含—含氧氣體之氣體脈衝。該方法尚包含將a)、b) 中之=將該處理室=洗 5各該暴露於包含多數歸土前驅物=脈 Ϊ-包ϊ 不_稀土金屬元素;翁該基板暴露 、、甘人i之衝;d)將步驟b)及〇重複一所望次數以將 1=、^化物__—厚度。錄本發明 二尚包含在至少一暴露步驟之後,將該處理室予以沖洗 !};r; 猎此形成一混合稀土鋁酸鹽薄膜。 3虱轧體, 【實施方式】 ,的稀,屬元素之材料。由於該稀土元素 , 全可互溶為氧化物、氮化物、氧氮化物、鋁酸鹽、銘=且元 銘氧氮化物,故被期待與其他稀土元素形成高度安^ 液。對於包含多種稀土金屬元素之混合稀土㈣料_ 的好處包含:與♦或金屬閘電極材料接觸之熱紋財择力^ ^ 晶化溫度增加、相較於包含單—稀土金屬元素之稀土系^料= 電常數增加、界面随密度減少、臨界值電壓偏移及費米於^ 札(Fermi levelPinning)減少,及處理特性改良。例如該混合 9 200813249 以用於包含未來世代之高介電常數介電材制裝置,以作 為電容及電晶體閘極介電層兩者。 d询衣置以作 流。其他好處,包;:二==車巧帶隙’以減少漏電 介電常數提I i i素之稀土織鹽的 稀土銘酸鹽薄膜成分之範圍變化,'此能ίίί=:¾ 右人^混,閑極介電材^可提供一些ΐΐ 數已 二非晶性。含有氮的額外的好處為,也 枓之介電常數’並且抑制攙雜物在材料 能夠有助於抑制在薄膜沉積以及隨後的處理步驟中的ί面 該薄提供—種方法,贿形成混合稀土系薄膜, 沉 ί 勻地 方法沉積高介電常數璋膣去苑他处坦、主的由於以CVD及pvd ^ 將在積體電路之未來世代為必需的ID方法喊〶介電常數材料, 不限Γ 構造,及各種構件的敘述。然^之—特定幾何 定細節之其他具體例巾實施。w $柯於偏離此等特 現在賴圖式,圖1A _依照 =1 於觀合稀土㈣膜沉積於-基板上。 包ί-處理室10,該處理㈣具有-基板支座;== —基板25 ’於該基板25上形成該混合稀土系薄膜。該持 200813249 尚包含一上部組件3〇(例如一喷淋頭), 十 J^^tS wi ίΐ?η^' ^ 46 ' ^ 其把、w痒4 i二軋體1、應糸、、先5〇。此外,該ALD系統卜包含一 基板温度控制系統60連接於基板支座 ^=义里,人?處理室 ^氧氣體供ί 應系,統42、沖洗排淨氣體供應系統44、 及基板溫度^、=〇_應系統48、含銘氣體供應系_, 的^ 鍵接m個以上額外 取安裝及:以二亀70可從-額外的控制器/電腦獲 ^圖1A,顯示單數的處理元件(1〇、2〇、3〇、4〇、似、44、杯、 立的二及Γ) 7對於本發明並非必要。該勘系統1除了獨 ^的處理TL件以外’可包含任意數目的處理树,雜意數 地理元件具有與其相關連的任意數目的控制器。 4? ί控/^盗7〇可用於配置任意數目的處理元件(10、20、30、40、 ^ /Π5Q ’及⑽’且該控制1^能從處理元件收集、 气、顯示資料。該控制器7〇可包含一些應用程 ί 該處理元件。例如控制器70可包含 ηΐίΐίΐ界面(GUI)構件(未顯示)’其能提供易使用之界面, 于 用者I控及/或控制一個或一個以上處理元件。 再^、圖1A,該系、統1可配置為處理200mm基板、 处=‘板二或更大尺寸的基板。事實上,熟悉該領域之人士應 月匕目冗積糸統可配置為處理基板、晶圓,或_,而無論其 ^。因此’雖然本發明將敘述關於—半導體基板之處理,但本 查月不限於此。或者’能夠同時處理多數基板之批次仰系統, 11 200813249 可利用=_本翻實關帽述觀合稀土系薄膜。 ,川立t二: 及弟2稀土前驅物通入到處理 引導兮ί m,1及第2稀土前驅物包含不同的稀土金屬元素。 稀土前驅物之交替動作可為循環式的 ,或可為 斗通人間隔不同之的非循環式。再者,各該第1 及該弟一2處理材料供應系統42可各配置為 將多數稀土前驅物通人該處理室ig,其中該多數 稀土則驅物包含不同的稀土金屬元素。 之實施例,有數種方法可利用於將該稀土前驅物 之r方法’、包含將稀土前驅物藉由使用分 =J !。之中或之前使氣相混合。藉由分別 Λ可在沉積ΐ膜中得到所望的稀土金屬元素理想配比 制2種ϋ。另—種傳达各稀土前驅物之方法,包含分別地控 =或2種以上不同的液體來源,矣著在進入一共 ru方法可於#該—物在溶液或液體形式中可^ Ϊ用ίίΐΪ似轉發特性的情形。其他方法,包含在起泡器中 人J相奋的經混合的固體或液體前驅物。液體源前驅物可包 稀土前驅物’或可溶解於可相容溶劑中的固體或 ㈣物。可相容賴,可包含但不限於:離子性液體, 反風化&物(脂肪族、烯烴類,及芳.香族)、胺、酿、甘醇二甲趟 ^yme)、綱,及聚醚。於某輯形可以將—個或—個以 固體前驅物溶解於—或—以上可相容的液體前驅物。對於 項技藝之人士㈣顯地,藉域錄稀场驅物包含於該 種不,稀土元素包含於此系統。對於熟悉此 二技S之人士亦报明顯地,藉由控制在一氣體脈衝中之夂 ^的相對濃度位準,能夠將混合稀土系薄膜以所望的理^己二 12 200813249 下式利用廣泛的不同的稀土前驅物。例如具有 ML1L2L3Dx ί: 金屬元素’擇自於由釔⑺、镏(Lu)、鑭(u)、 =、镨(Pr)、斂⑽)、釤(Sm)、銪㈣、釓(Gd)、铽⑽、鏑㈣、 欽_、铒(Er)、链(Tm),及鏡⑽構成之族群。Ll、L2、L31為 ,離子,配,為中性的供給者配體,其中χ可為〇、卜2:或 二σ L、L、L配體可分別擇自於由燒氧化物、鹵化物、芳氧化 胺、?戊二絲化物、絲化物、絲化物、麟(amidi味) 化物、β-二酮鹽(酯)、酮亞胺鹽(酯)(ket〇iminate)、矽酸_ (醋)(sil_ate) ’及魏酸酷所構成之族群。D配體 : 、胺鲁甘醇二曱醚㈣岭及 ^ L群烧氧化物之例,包含:第三丁氧化物、異丙氧化物、乙 氧化物、:l·甲氧基-2,2-二曱基-2·丙酸酯(mmp)、小二曱基胺基_2,2,_ -曱基-丙L戊基氧化物,及新戊氧化物(ne〇_pent〇xide)。齒化 物之例’包含:氟化物、氯化物、碘化物,及溴化物。芳氧化物 之例,包含:苯氧化物(phenoxide)及2,4,6-三曱基苯氧化物。醯胺 之例,包含:二(三曱基石夕基)醯胺、二.第三丁絲胺年, 四曱基六氫吼啶(TMPD)。環戊二烯基化物之例,包含:環戊二烯 基化物曱基環戊二稀基化物、i,2,3,4-四甲基環戊二烯基化物、 乙基環戊二烯基化物、五曱基環戊二烯基化物、μ異丙基環戊二 烯基化物、1-正丙基環戊二烯基化物及μ正丁基環戊二烯基化物。 烷基化物之例,包含:二(三甲基矽基)甲基化物、三(三甲基矽基) 甲基化物,及三甲基矽基甲基化物。矽基化物之例,包含:三> 基矽基化物。胨基(amidinate)化物之例,包含:ν,Ν’-二-第三丁基 乙脒、Ν,Ν’-二-異丙基乙脒、Ν,Ν’-二-異丙基-2-第三丁脒,及n,Nl 二-第三丁基-2-第三丁脎。二酮鹽(酯)之例,包含2,2,6,6_四曱基 -3,5_庚一酮鹽(g旨)(THD)、六鼠_2,4_戊二酮鹽(g旨),及 6,6,7,7,8,8,8_ 13 200813249 七氟-2,2-二甲基-3,5-辛二酮鹽(酯)(FOD)。酮亞胺鹽 (酯)(ketoiminate)之例,為2·異丙基亞胺基-4-戊酮鹽(酯)。石夕酸鹽 (酯)(silanoate)之例,包含:三-第三丁基石夕氧化物及三乙基石夕氧化 ^ 物。羧酸酯之例,為己酸2-乙酯。 — D配體之例,包含:四氫呋喃、二乙醚、1,2-二甲氧基乙烷、 / 二甘醇二曱醚(diglyme)、三甘醇二曱醚(triglyme)、四甘醇二曱醚 (tetraglyme)、12-冠-6,10-冠-4、吼咬、N·曱基吼嘻咬、三乙胺、 三曱胺、乙腈,及2,2-二甲基丙腈。 稀土前驅物之代表例,包含: Y 前驅物:Y(N(SiMe3)2)3、Y(N(iPr)2)3、Y(N(tBu)SiMe3)3、 • Y(T—D)3、Cp3Y、(MeCp)3Y、((nPr)Cp)3Y、((nBu)Cp)3Y、 Y(OCMe2CH2NMe2)3、Y(THD)3、Y[OOCCH(C2H5)C4H9]3、 Y(CnH1902)3CH3(0CH2CH2)30CH3 、Y(CF3OOCHCOCF3)3 、 Y(OOCC10H7)3、Y(OOC10H19)3,及 Y(0(iPr))3 〇
La 前驅物:La(N(SiMe3)2)3、La(N(iPr)2)3、La(N(tBu)SiMe3)3、 La(TMPD)3 、((iPr)Cp)3La、Cp3La、Cp3La(NCCH3)2、 La(Me2NC2H4Cp)3、La(THD)3、La[OOCCH(C2H5)C4H9]3、 La(C11H1902)3CH3(OCH2CH2)3〇CH3 、 La(C„H1902)3 CH3(0CH2CH2)40CH3、La(0(iPr))3、La(OEt)3、La(acac)3、 論 La(((tBu)2N)2CMe)3、La(((iPr)2N)2CMe)3、La(((tBu)2N)2C(tBu))3、
La(((iPr)2N)2C(tBu))3,及 La(FOD)3。
Ce 前驅物:Ce(N(SiMe3)2)3、Ce(N(iPr)2)3、Ce(N(tBu)SiMe3)3、 Ce(TMPD)3、Ce(FOD)3、((iPr)Cp)3Ce、Cp3Ce、Ce(Me4Cp)3、 Ce(OCMe2CH2NMe2)3 ^ Ce(THD)3 ^ Ce[OOCGH(C2H5)C4H9]3 ^ ^ Ce(CnH1902)3 · CH3(OCH2CH2)3OCH3 、Ce(CnH1902)3 · - CH3(OCH2CH2)4OCH3、Ce(0(iPr))3,及 Ce(acac)3。
Pr 前驅物·· Pr(N(SiMe3)2)3、((iPr)Cp)3Pr、Cp3Pr、Pr(THD)3、 Pr(F0D)3、(C5Me4H)3Pr、Pr[OOCCH(C2H5)C4H9]3、· CH3(OCH2CH2)3OCH3、Pr(0(iPr))3、Pr(acac)3、Pr(hfac)3、 14 200813249
Pr(((tBu)2N)2CMe)3、Pr(((iPr)2N)2CMe)3、Pr(((tBu)2N)2C(tBu))3,及 Pr(((iPr)2N)2C(tBu))3 〇
Nd 前驅物:Nd(N(SiMe3)2)3、Nd(N(iPr)2)3、((iPr)Cp)3Nd、 Cp3Nd、(C5Me4H)3Nd、Nd(THD)3、Nd[OOCCH(C2HS)C4H9]3、 - Nd(0(iPr))3、Nd(acac)3、Nd(hfac)3、Nd(F3CC(0)CHC(0)CH3)3,及 ,Nd(FOD)3 °
Sm 前驅物:Sm(N(SiMe3)2)3、((iPr)Cp)3Sm、Cp3Sm、 Sm(THD)3 ^ Sm[OOCCH(C2HS)C4H9]3 > Sm(0(iPr))3 ^ Sm(acac)3 ^ 及(C5Me5)2SM 〇
Eu 前驅物:Eu(N(SiMe3)2)3、((iPr)Cp)3Eu、Cp3Eu、 I (Me4Cp)3Eu、Eu(THD)3、Eu[OOCCH(C2H5)C4H9]3、Eu(0(iPr))3、 Eu(acac)3 > &(C5Me5)2Eu 〇
Gd 前驅物:Gd(N(SiMe3)2)3、((iPr)Cp)3Gd、Cp3Gd、 Gd(THD)3、Gd[OOCCH(C2H5)C4H9]3、Gd(0(iPr))3,及 Gd(acac)3。
Tb 前驅物:Tb(N(SiMe3)2)3、((iPr)Cp)3Tb、Cp3Tb、Tb(THD)3、 Tb[OOCCH(C2H5)C4H9]3、Tb(0(iPr))3,及 Tb(acac)3。
Dy 前驅物:Dy(N(SiMe3)2)3、((iPr)Cp)3Dy、Cp3Dy、 Dy(THD)3 、 Dy[OOCCH(C2H5)C4H9]3 、 Dy(0(iPr))3 、
Dy(02C(CH2)6CH3)3,及 Dy(acac)3 〇 I Ho 前驅物:Ho(N(SiMe3)2)3、((iPr)Cp)3Ho、Cp3Ho、 1 Ho(THD)3、Ho[OOCCH(C2HS)C4H9]3、Ho(0(iPr))3,及 Ho(acac)3。
Er 前驅物:Er(N(SiMe3)2)3、((iPr)Cp)3Er、((nBu)Cp)3Er、 Cp3Er、Er(THD)3、Er[OOCCH(C2H5)C4H9]3、Er(0(iPr))3,及 Er(acac)3 〇
Tm 前驅物:Tm(N(SiMe3)2)3、((iPr)Cp)3Tm、Cp3Tm、 ^ Tm(THD)3、Tm[OOCCH(C2H5)C4H9]3、Tm(0(iPr))3,及 Tm(acac)3。
Yb 前驅物:Yb(N(SiMe3)2)3、Yb(N(iPr)2)3、((iPr)Cp)3Yb、 Cp3Yb、Yb(THD)3、Yb[OOCCH(C2H5)C4H9]3、Yb(0(iPr))3、 Yb(acac)3、(C5Me5)3Yb、Yb(hfac)3,及 Yb(FOD)3。 15 200813249
Lu 前驅物:Lu(N(SiMe3)2)3、((ipr)cp)3Lu、Cp3Lu、Lu(THD)3、 Lh[OOCCH(C2H5)C4H9]3、Lu(0(ipr))3,及 Lu(acac)3。 於以上之前驅物中,以及以下前驅物中,使用以下共同的簡 ▲稱:Si :矽;me :曱基;Et :乙基;ipr :異丙基;npr : -正丙基;Bu : 丁基;nBu ··正丁基;sBu :第二丁基;iBu : / 異丁基;:第三丁基;Cp :環戊二烯基;Thd : 2,2,6,6-四曱基-3,5_庚二酮鹽(酯);丁]\4?0:252,6,6-四甲基六氫吡啶;扣此: 乙醯丙酮鹽;hfac ··六氟乙醯丙酮鹽;及fOD : 6,6,7,7,8,8,8_七 二甲基_3,5_辛二酮鹽(醋)。 仍參照圖1A,該含氧氣體供應系統46配置為將一含氧氣體 • 通入該處理室10。該含氧氣體可包含02、H20,或H202,或其組 合,且選擇性地’包含鈍氣,例如Ar。同樣地,該含氮氣體供應 系統48配置為將一含氮氣體通入該處理室1〇。該含氮氣體可包含 NH3、N2H4,或其組合,且選擇性地,包含一鈍氣,例如^。依 照本發明之一實施例,該含氧氣體或該含氮氣體可包含]^〇、]^〇2, 或N2〇,或其組合,且選擇性地,包含一鈍氣,例如^。 本發明之實施例可利用廣泛的鋁前驅物,用以將鋁併入該混 合稀土系薄膜。例如具有以下式所示之許多鋁前驅物: A1L1L2L3Dx _ 其中,Ι/、Ι?,Ε3各為非離子性配體,D為一中性的提供者 配體,X可為0、:1,或2。各L1、L2,L3配體可各擇自於由烷氧化 物、i化物、芳氧化物、醯胺、環戊二烯基化物、烷基化物、矽 基化物、脒基(amidinate)化物、β-二鲷鹽(酯)、酮亞胺鹽 _ (醋Xketoiminate)、.矽酸鹽(醋)(silanoate),及鲮酸酯,所構成之g .群。D配體可擇自於由醚、吱喃、ϋ比σ定、吨嘻、吼p各唆、胺、冠 醚、甘醇二曱醚(glyme),及腈所構成之族群。
銘前驅物之其他例,包含:Al2Me6、Al2Et6、μα〇3〇;ΒιιΧ)314、 Al(CH3COOHCOCH3)3 ^ AlBr3 ^ A1I3 ^ Al(〇(ipr))3 , [Al(NMe2)3]2 ^ Al(iBu)2Cl ^ Al(iBu)3 ^ Al(iBu)2H ^ AlEt2Cl ^ Et3Al2(0(sBu))3 > A 16 200813249 A1(THD)3 ο 排淨,洗排淨氣體供應系統44配置為將一沖洗 排乎虱體通入處理室10。例如沖洗排淨氣體之 人 將稀土前驅物及—含氧氣體、—含氮氣體 通入該處理室ω之間。該沖洗排淨氣體可包 重氣體(即,He、Ne、Ar、Kr,Xe)、氮氣沉、、弋,/歹1貝 至本ΛττΛ - A乳(乂)’或氫氣(¾)。
再者,ALD糸統1,包含基板溫度控制李 J 控制系統6g連接於該基板支座2G,並配縣板溫度 ii、人if度控㈣統⑼包含溫度控制元件,例如-冷卻系 、洗該々冲糸統包含一再循環冷媒流,該流從 為故 统僂敎。系、、充未,員祕加熱時,從熱交換器系 力枚二、卜’表皿度控制70件可包含加熱/冷卻元件,例如電阻 中1G之腔室壁,以及該系統1之任意其他構件 .以土板溫度控制系統60,可例如配置為升高及_制$美軛、、西 度從室溫至約350X〜550°Γ。々I 制遠基板/皿 150X 5 ml 3 C或者’该基板溫度可介於例如約 批矣而ϋ 、而需了解該基板溫度,係依_於在—給定基 义也 > 儿積一特定混合稀土系材料之所望的溫度選擇。 tmnSfHf ί於基板25與基板支座20之間的熱傳(thermal 例如_4^ mf 1包含—機械性夾持系統或—電夾持系統, 其2糸統’以固定基板25錄板支座2〇之一上表面。 氣體ίΐΐΐ2G可尚包含—基板背織體傳送系統,該基板背側 ϋϊ/Γ為將氣體引導到基板25之背側,以改善介於基 絲了…、基板支座20之氣隙導熱率(thermal conductance)。此一系 开當需要在升高或降低之溫度進行基板之溫度控制之情 絲,月側氣體傳送系統可包含—兩區帶氣體分配系 、、、^中该,氣氣隙壓力可在基板25之邊緣及中央獨立地變化。 32,5亥處理室1〇尚通過一導管38連接於一壓力控制系統 控制系統32包含一真空泵浦系統34及一閥36,其中 17 200813249 ^制线32配置為能可控制地排空該處 在基板25上形成薄的薄膜及適 至k於 力。該真空泵浦系統34可包含一處理材料的壓 溫泵浦,抽取速度能達到約测L/se(^或更一 t 於調節腔室壓力之閘閥。再者,—用於監卿)=36可包含一用 :),可被連接於該處理室10。該壓力測量“可&力^^ 态公司(麻州Andover)所生產之型號628Β的商Β啦 ^ =爾使於緣純合稀土_之期間,介於約W ‘ 該第1材料供應系統4〇、該第2材料供應糸 淨氣體供應系統44、該含氧氣體供應系統46:該含 制裝置、-個或-偏上纖繼、—個控 個或個以上閥’及/或—個或—個以上 :旦 贺,閥。二?、本%明之貫關,可將氣體接連地及㈣地以脈 1方〇〇 ii ίί10夂f氣體脈衝長度可例如介於約及約 lOOsec之間。或者’各氣體脈衝長度,可介於約⑽及約版c 之間。例示之稀土前驅物氣體脈衝長度,可介於〇 3及如沈之 例如,lsec。例示之鋁前驅物之氣體脈衝長度,可介於〇 i至知二’, 例如’ 0.3sec。例示之含氧及含线體之氣體脈衝長度,可於 0.3及3 sec ’例如’ lsec。例示之沖洗排淨氣體脈衝,可介於工及 20sec,例如3Sec。一例示之脈衝化氣體噴射, 中 國專利案公開號2004/0123803中。 .、、杳—干之吳 仍參照圖U,控制器70可包含:—微處理器、記憶體,及 -數位I/O 4,該數位〗/〇埠能產生足以溝通以及活化輸入 ALD系統1之控制並且監控從該ALD系統i之輸出。再者, 該控制器7〇可以連接於該處理室10、基板支座2〇、上部組件3〇、 18 200813249 f 2 ^ ^42 ^ >t 氣體供應i I先50、1 體供應系統46、含氮供應系、统48、含铭 且與此等交換資^=度控制系統6G ’及壓力控制系統32,並 處理配方,存於記憶體之程式可利用於依照-沉積處^祕1送蚊祕齡,以實施一 WORKSTATICM^ii 〇 之—例,為 DELL PRECISI〇N 铁iAT^〇N61〇,可從Dell公司(Austin,丁叫講得。 包含^^, 7G可供作為-顧紙魏,其_於執行 =在5己丨思體中之一個或一個以上序列或一個或一個 入八 乂而實施本發明微處理器系處理步驟中之—部分ii ί體從ΐ他電腦可讀之媒體,例如—硬碟或—可移除之 二個以=到該控制器記憶體。於多處理安排之一個或 以採用為該控制器微處理器,以執行包含於 軟體2意令組合。因此,實施例不限於硬體電路系統及 7G ’产含至少—電腦可讀之媒體或記紐,例如該 ί依照本發明之教示所程式化的命令,並用 媒體之例,為CD、硬碟、軟碟、磁帶、磁性光碟、 SDRAf)EPR〇M、職0M、快閃 EPR〇M)、DRAM、SRAM, DRAM ’或任意其他的磁性媒體,CD(例如CD_R〇M)任音 的光學媒體]打孔卡片、紙帶,或其他帶有孔洞圖案的實i /、-、一載波(敘述於下),或任意其他的電腦可讀取之媒體。 如^存於任-電腦可讀取之媒體或其組合之軟體,用以控制該 控制益70 HI鳴用於實施本發明之—裝践裝置,及 使得n亥控制益與一使用者溝通。此種軟體可包含但不限於裝置 動衣置、刼作系統、開發工具,及應用軟體。此電腦可讀 體可尚包含本發明之電腦程式產品,實施在本發明所進行之 19 200813249 處理的全部或-部分(若處 該電腦程式產品可立 政式的)。 但不限於腳本(script、可的或可執行的碼機構,包含 -別,及完整的可執行程式。再去,1動您連結庫(DLL)、Java類 達成更佳的性能、可靠性, 邛分本發明處理,可以分散以 ' 此處所使用的用語 令給該控侧7G之該處 ^^體」,意指任何參與提供命 體可採許多形式 =行的媒體。-電腦可讀之媒 傳輸扣發性=體、揮發性媒體,及 磁性光碟,例如硬碟或 已3例如光碟、磁碟,及 含動態記憶體,例如主^式^驅動機器。揮發性媒體,包 媒體,可針對控制器之處i器進行Ϊ個^種^式之^腦可讀取之 .上執行。該遠距電腦可蔣 (初在一遂距琶腦之一磁碟 遠距地裝胁H體巾:貫J本發明全部或-部分的命令 器70。 動…己fe體中’亚透過網路傳送此命令至該控制 接連接、—=為柳的。例如,該控制器7〇可以使用一首 與該ALD系統i交換資料。其中至少之一, 戶處(即,—裝;錢可以連接於在例如一客 供應商處(即,」網路’或可以連接於在例如-70可連接二/又備块商)之—内部網路。此外,例如該控制哭 3以存取例如該控制器70,以通過―電直=捲&制服器等) 器70可罔^乂父換f料。熟悉該技術領域之人士應瞭解,該控= 、、二由一热線連接與該沉積系統1交換資料。 將-【mpEALD祕⑽,驗舰本發敗—實施例 认之該ί缚膜沉積於^^板。該peald系統ι〇0類似於^ 糸統χ,但尚包含一電漿產生系統,該電漿產生系统 20 200813249 配置為多數氣縣露之至少—部分_在該處 漿。此能允許從包含〇2、H2o、H2〇2,或其組合之 = 生臭氧及電漿激發氧。同樣地,電漿激發氮可 內’ 襞激發ί及氮可從含有恥、恥2,及N2o或其組ίί處 生二該電漿產生系統,包含—第i電源52,該第 ^接於 該5理室10,並配置為將能量嫩通入於該處理於 該第1電源52可為一可變電源,且可包含一 =一 ° Μ Γ;; 配置為與絲板支座2G相對。雜抗匹_路可 ,處理室(包含該電極及賴)包含之魏鱗輪丨賊g且 抗匹配’而將來自於RP產生器對於電漿之Μ 傳送最 例如,阻觀_路作料藉由減少反射 =RF能量對電漿之傳送。匹配網路拓樸(例如&處=3 型等)及自動控制之方*,為該技術領域中為人所熟知的4 且可弟Λ電源、52可包含一处產生器及一阻抗匹配網路, 宮一將例如一感應線圈,藉此將w能量輕合於處理 例如,如在感應式耦合電漿源或螺旋式電漿源, ίϊΙΓϊ旋狀或螺線管狀之線圈,或者例如,如在變壓器 耦a包水源/ 5亥天線可包含平板線圈。 者,該第1電源52可包含一微波頻率產生器,且可尚包含 將如^天I線,械波窗,藉此,微波能量可以搞合於處理室10之電 波能量之輕合可藉由使用電子迴旋加速器共振(ecr)技術達 =,或可採用表面電漿波技術,例如美國專利號碼5,024,716所述 槽口平面天線(slotted piane antenna,spA)。 依照本發明之一實施例,該PEALD系统1〇〇,包含一基板偏 ^產生系統’配置為至少於交替地將該氣體通入處理室10之部分 /月間用以產生或協助電漿產生(藉由基板支座偏壓)。該基板偏壓 21 200813249 配網路,且可尚包含-L包含—產生器及一阻抗匹
形成於基板支座20内。例如,藉由將RF能量自RF產 示)經過阻抗匹配網路(未顯示)而傳輸至基板支^ 20 ’I 門叮入使基板支座2G產生電偏壓。处偏壓之典型頻率範 ,可介於約G.hnHz至約刚峨,且可為13.56mHz。用3 = 力 本技藝者所知。或者,係在多頻率下 ^統及基板偏壓系統為分離之實體,但其_實可 := 支座20的一或多個電源。 妾至土板 將糸彡PEALD ^細,包含—遠距的電漿系統56,該電 並在將該電聚激發氣體流入該處理室1〇之前 :地;漿激發-含氧氣體、-含氮氣體,或其組合將:ΐ; 處理室1Ό中暴露於該基板25 5 ;'Γ托包爾含";生8爾理室壓靖於約 、、、勺10托爾,或介於約〇·2托爾及約3托爾。 土 地說明依照本發明之實施例,祕形成混合稀 ^膜之脈衝相。依照本發明之實施例,接連的及交 素:該=it不广(即稀土金屬元 ^可形成之混合稀土材料包含:混合稀土氧化物薄膜、混 、,=!?·膜、混合稀土氧氮化物薄膜、混合稀土 _鹽薄i、 /tbs稀土鋁氮化物薄膜,及混合稀土鋁氧氮化物薄膜。、 义,2A繪示一脈衝序列2〇〇,係用於在步驟2〇2從一第【 =物沉積-第1稀土元素。圖2B緣示—脈衝序列21〇,係= 乂驟212從-第2稀土前驅物沉積—第2稀土元素。圖%、緣示 22 200813249 -脈衝序列220,係用於在步驟222同時地從多數稀土前驅物沉積 =種稀土,,。圖2D繪示一脈衝序列23〇,用於在步驟232自暴 露於一含氧氣體,將氧混入一混合稀土系薄膜。圖2E繪示一脈衝 ♦ 士列240’用於在步驟242從一含氮氣體將氮引入於一混合稀土系 薄膜。圖2F繪不一脈衝序列25〇,用於在步驟252從一鋁前驅物 一 沉積鋁。 依妝於圖2A-2F所示實施例,各脈衝序列2〇〇、21〇、22〇、 230、240、及250 ’可包含一各自的沖洗排淨或排空步驟綱、214 m244、254,以從該處理室移除未反應之氣體或副產物( 3 一^施例,可以省略該沖洗排淨或排空步驟204 214、224、234、244、254 之中一個或一個以上。 依照本發明之實施例,可利用圖2切所示 組合以沉積不同的混合稀土系材料。以下為例示之包 稀土金屬7L素之混合稀土緒料’其能藉由本 予以沉積。熟悉此項技藝之人士將可輕易地瞭=例之教开 廣泛其他的混合稀土諸料也可被沉積。目此,:、2下之 不限於下列材料。例如其他混合稀土系材料 貫施侈 元素’例如,3種、4種或更多種。 3夕;種稀i 混合稀土氧化物:LaxLuyOm、YxLUy〇m、γ u ^ τ 及 LaxPry0m〇 Υ "从他姆ay〇m 混合稀土氮化物:LaxL%Nn、YxLuyNn、YLaN 及 LaxPryNn〇 ' YxLaA、NdxLayNn 混合稀土氧氮化物·· LaxLuy〇mNn 、γ
YxLayOmNn、NdxLayOmNn,及 LaxPryOmNn。 x y 、 混合稀土鋁氧化物:LaxLUyAla〇m、YxL NdxLayAlaOm,及 LaxPryAla〇m。 、LayAla〇m 混合稀土鋁氮化物·· LaxLUyAlaNn、ΥχΚΑΐΛ、
NdxLayAWn,及 LaxPryAlaNn。 , yAWn 混合稀土紹氧氣化物:LaxLuyAla〇mNn、YxUiyAlaC) n 23 200813249
YxLayAlaOj^Nn,NdxLayAlaOnJNn,及 La^PryAlaOj^Nn 〇 混合稀土氧化物薄膜 圖3A-3D為本發明之實施例用以形成混合稀土氧化物薄膜之 處理流程圖。圖3A-3D之該處理流程可由圖1、2之該ALD/PEALD 系統1/101,或配置為實施一 ALD/PEALD處理者之任意其他適當 的ALD/PEALD系統實施。於圖3Α,該處理流程300 於於; 驟302當一基板,例如一半導體基板放置於一 ALD或系 統之處理室時。於步驟304,該基板被接連地暴露於包含一第1 稀土前驅物之氣體脈衝及一含氧氣體之氣體脈衝。於步驟3〇6,該 基板被接連地暴露於一第2稀土前驅物之氣體脈衝及一含氧氣體 之氣體脈衝。該含氧氣體可包含:〇2、H2〇、H2〇2、臭氧,或電 漿激發氧,或其組合,且選擇性地,包含鈍氣,例如Ar。—包 於步驟304,該第1稀土前驅物與該加熱過之基板表面上的_ 基反庳丄以形成一厚度小於一單層之化學吸附層,該化學吸附| 包含該第1稀土金屬讀。該化學吸附層厚度小於-單層係由二 相對於該第1稀土金屬元素之尺寸,該前驅物之尺寸較大。盆次、, 來自於該含氧:氣體之氣舰衝的氧,與該化學吸附表面層反應, 度產生一羥化表面。藉由重複此接連的氣體暴露,即,交祛 次、進打此2種暴露,能夠於每一循環達成逐層成長約1 i 如敘述於下者,依照本發明之另一實施例,該處理室 二尹或排空以在介於接連的及交替的氣體脈衝之間,從 ίί:移除任何未反應之第1或第2稀土前驅物、副產 2 n± ㈣卿混合稀1氧=^屬=’以形f 一,為 處暴露步驟304及鄕可重複-預定次數,如^ 物薄Ϊ ’直到形成具有所望厚度之混合稀土氧化 、、^斤王之4膜厚度可取決於半導體裝置之類型或所形成 24 200813249 之裝置區域。例如該薄膜厚度可介 約5埃及約40埃。 、、'々埃及、力200埃,或介於 产^所不之貫施例’該處理流程300 ’包含一沉产德 极,该>儿積循裱包含接連的及交替 ·一 L積# 脈氧氣體之脈衝、—第2心前料/之脈:土:驅二 ί^=照ί發明之另—實施例,該接連的及交替的i! 以實現薄膜成長及薄膜組成。/ %无於々驟綱進仃, 以猸明之一實施例,各該接連的暴露步驟304及3〇6可 次數。於—财,若步驟綱她衝序列1 _衝序列Β代表’一沉積循環可包含ΑΒ,复 1 一預定次數(即,处处沾等),直到該所望的薄膜 *之人士將可㈣地瞭解,廣泛的其他沉積循 ί衣為可月b的,包 § 例如:ABBABB、、ABBB、aaab、 A^B AAABB等。本發日狀實施例不限於此等沉積循環, 可為^及B之任意組合。使用此f不同的沉麵環,能夠將含有 不^及,深度分布之該第1及第2稀土綠稀土氧化物薄膜 沉積付到隶終的混合稀土氧化物薄膜。 依照本發明之另-實施例,可將含有額外的稀土前驅物之不 同的稀土元素之額外的脈衝序列加入於圖3所示該處理流程,以 ,巧包含上種或3種以上不同的稀土金屬元素的混合稀土氧化物 薄膜。換言之,藉著針對每一欲併入該薄膜之額外的稀土金屬元 素,加入包含一稀土前驅物以及一含氧氣體之氣體脈衝的多個脈 衝f列’能將額外的稀土元素併入該薄膜。於一例中,可加入一 脈衝,列C,其包含一第3稀土前驅物之氣體脈衝以及一含氧氣 體之氣體脈衝。因此,一沉積循環可例如包含:、abbc, ABCC等。然而本發明之實施例不限於此等沉積循環,也可利用 A、B,及C之其他組合。 圖3B為一處理流程圖,用於依照本發明之另一實施例,形成 25 200813249 -混合稀土氧化物薄膜。該處理流程32G類 、 程·’但是32〇可尚包含於各氣體脈^ 淨或=該處理^步驟。該沖洗排淨雜空步驟可 的稀土^驅物及含氧氣體脈衝之間,從該處理室移除任 1未反應之稀均驅物、物,及含氧氣體。如此處所使 沖洗排承步驟可尚包含於該沖洗排淨期間,排空該處理室。、 置於步驟322 ’ 一基板’例如一半導體基板,配 置;LD或PEALD糸統之處理室時。於步驟324,★亥其柄吴 露於-第1稀土前驅物之氣體脈衝,於步32 室^^ :或触以從該處理室移除未反應之第i稀土前ς物 =。於々驟328 ’該基板暴露於一含氧氣體之一脈衝,及於步驟 ί氧洗排淨或排空以從該處理室移除任何未反應之 偷332,該基板暴露於包含一第2稀土前驅物之氣體脈 衝’於,驟=4,該處理室被沖洗排淨或排空以從該處理室移除任 =未反應^第2稀土前驅物及任何副產物。於步驟336,該基板暴 1於δ氧氣體之脈衝’於步驟338,該處理室被沖洗排淨或排空 以從該處理室移除任何未反應之含氧氣體或副產物。類似於圖3Α 之,處理流程300,處理流程320之該暴露步驟324_33〇可重複一 ,疋次數’如該處理流程箭頭34〇所示,且暴露步驟332_338可重 複一預定次數,如該處理流程箭頭342所示。依照本發明之一實 施例,暴露步驟324-330與步驟332-338之組合,可重複一預定次 數,如該處理流程箭頭344所示。 、 預疋一人 π圖為一處理流程圖,用以依照本發明之又另一實施例形成 此合稀土氧化物薄膜。參見圖3C,該處理流程35〇開始於於步驟 352’一基板,例如一半導體基板,配置於一 ALD或pEALD系統 之處理至時。於步驟354,該基板暴露於一氣體脈衝,該氣體脈衝 包含多數,即至少2種稀土前驅物,各稀土前驅物各具有一不同 的稀土金屬元素。因此該氣體脈衝包含欲沉積於該基板上的多種 26 200813249 不同=稀土金屬元素。氣體脈衝中之各稀土前驅物之相 地改為最終混合稀土氧化物薄膜之組成^於 步驟一可重複-預定次數^ -
配置於- ALD或四助系統之處理室時。j料體基板, 364 ’該基板暴露於一氣體脈衝,該氣體脈衝包含多數 f66Ht稀场驅物具有—不同_土金屬元素,於步驟 财或齡聰鍵理室嫌未反應之稀土 於+驟368 ’該基板暴露於一含氧氣體之脈 :y —,以處理室被沖洗排淨或排空以從該處理室移除任 何夕餘的含氧氣體或副產物。依照本發 連 ,步驟36«7〇可重複—預定次數,如魏讀程‘3^斤連示的 混合稀土氮化物膜 ,·巧為處理流程圖,祕錢本發明之實施例形成混合 稀氮化物薄膜。圖4A-4D之該處理流程可藉由圖丨、2之該 ALD/PEALD系、、统l/i(H,或配置為實施一 處理之任 意其他適當的ALD/PEALD系統實施。 〜 於圖4A ’该處理400開始於步驟4〇2,一基板,例如一半導 體基板,配置於一 ALD或PEALD系統之處理室時。於步驟4(M, 該基^接連地暴露於-含有—第i稀土前驅物之㈣脈衝,及 含氮^體之氣體脈衝。於步驟406,該基板被接連地暴露於一第 2稀土前驅物之氣體脈衝及一含氮氣體之氣體脈衝。該含氮可包含 胃3、ί^Η4、電漿激發氮,或其組合,且選擇性地,包含一鈍氣, 例如Ar。 27 200813249 1,本發明之實施例,該第1稀土(仙1)前驅物及該第2稀土 驅物包含不同的稀土金屬元素,用於形成一通式為 xRE2yNn之混合稀土氮化物薄膜,其中,X、y,及η為非零之 ΐ接翻暴露频404及406可重複一預定次數,如該處 前碩·所示,朗已形成具有所望厚度之混合稀土氮化 二,望之_厚度可取決於半導體裝置之類型或所形成 =區域。例如該_厚度可介於約5埃及約埃,或介於 約5埃及約4〇埃。 =於圖4Α所示之實施例’該處理流程_,包含一沉積循 痛麟包含接連的及交替地暴胁:―第1稀土前驅物 =、:含氮氣體之脈衝、一第2稀土前驅物之脈衝,及-含 3衝。依照本發明之—實施例,該處理流程可以任 驟綱、406、彻。依照本發明之另一實施例,沉積 的及交替的暴露步驟4〇4及4〇6可以反轉,即,步 404前ΐ施,以實現薄膜成長以及薄膜組成。 以猶明之一貫施例,各該接連的暴露步驟4〇4及4〇6可 υ重複―預定次數。於—例中’好驟_由脈衝序列1 ί 概由衝序列B代表,一沉積循環可包含AB,1 1…L 复 次數(即,ΑβΑΒΑΒ等)直到該所望的薄膜形 疋了肊的,包含例如:ABBABB、aaBAAB、 ' y" AAABB #。細本發狄纽财 了,用A及B之其他任意組合。使用此等不同於班二 ,終混^稀土氮化物薄膜之中’沉積包含該第工“ ^稀y 素之不同量及不同深度分布的稀土氮化物薄膜。 稀土兀 依照本發明之另一實施例,可於圖4A所示 加額外的脈衝序列,該脈衝序列包含具有不 流i呈中,添 的稀土前驅物,以形成包含3種或3種以同^ 領外 的混合稀土氮化物薄膜。換言之,藉由針各元素 4 口々人此入该溥膜之額 28 200813249 金;加列,該等脈衝序列包含接連 及=氣氣體之氣體脈衝, c,rr: 3
Ϊ fi j 沉積循環可例如包含舰、綱C,ABCC 之實施例不限於此等沉積循環,可_ a、b,及 c的其他組合。 入 户揮ίΐίΐ,明之另一實施合i,該處理流程400類似於圖3B之該 尚包含在各氣體脈衝後,沖洗排淨祕空該處理 f或排空/驟可在該交替的稀土前驅物及含 該處理轉除任何未反應之稀土前驅 物、副產物,及含I氣體。 -、、曰處理流程-,用於依照本發明之又另一實施例形成 一/= 口稀氮化物薄膜。參見圖4B,該處理410開始於步驟犯, iii#例如—半導體基板,配置於—⑽或PEALD系統之一 X 驟414 ’該基板暴露於—氣體脈衝’該氣體脈衝包含具有 、二於ft金屬騎之多數稀土前驅物。因此該氣體脈衝包含欲 基板上的多種不同的稀土金屬元素。該氣體脈衝之中, 驅物之相對濃度可㈣立地被控制以修改最終混合稀土 υίΐ組成。於步驟416,該基板暴露於一含氮氣體之脈 、——依=本电明之一實施例,該接連的暴露步驟414及416可重 稷—預=次數,如該處理流程箭頭418所示。 依照本發明之另—實施例,該處理流程41〇,類似於圖3D之 流程’於各驗麟之後,可尚包含核排淨或排空該 ί脈驟:ίΓ洗排淨或排空步驟可幫助在該多數交替的氣 及含氮氣2 ’Λ魏理室移除任何未反應之稀土前驅物、副產物, 混合稀土氧氮化物膜 29 200813249 圖5A-5B為處理流程圖,用於依照本發明之實施例形成混合 稀土氧氮化物薄膜。圖5A-5D之該處理流程,可藉由圖1、2之該 ALD/PEALD系統1/101,或配置為實施一 ALD/PEALD處理 意其他適當的ALD/PEALD系統實施。 ( 於圖5A,該處理500開始於步驟502,一基板,例如一半導 體基板配置於一 ALD或PEALD系統之一處理室時。於步驟5〇4, 该基板被接連地暴露於:一含第1稀土前驅物之氣體脈衝,及一 含氧氣體、一含氮氣體,或一含氧及含氮氣體之脈衝。於步驟, 忒基板被接連地暴露於一第2稀土前驅物之氣體脈衝及一含氧氣 體、一含氮氣體,或一含氧及含氮氣體之氣體脈衝。該含氧氣體 可包含〇2、112〇、112〇2、期、斯2、>;[2〇,臭氧,或電漿激發氧, 或其組合,且選擇性地包含一鈍氣,例如Ar。該含氮氣體可包含 瓶3、凡氏、NO、Ν〇2、ΚΟ,電漿激發氮,或其組合,且選擇 性地包含一鈍氣,例如Ar。為了將氧及氮混入該薄膜,步驟5㈨ 及/06之組合應包含至少一含氧氣體之脈衝及至少一含氮之氣體 脈衝。當然,包含NO、N〇2,或%0之氣體,包含氧及氮兩者。 依照本發明之實施例,該第〗稀土(RE1)前驅物及該第2稀土 =2^前驅物包含不同的稀土金屬元素,以形成具有通式 ixRE2y〇mNn之混合稀土氧氮化物薄膜,其中X、y、m,及n為 非广之數子。該接連的暴露步驟504及506可重複一預定次數了 ’所示,朗已形成所望厚度之混合稀土氧 iΪ膜。該所望之細厚度可取決於半導體裝置之類型或所 义$之衣置區域。例如該薄膜厚度可介於約5埃及約2〇〇 介於約5埃及約40埃。 、4 兮、-^^圖5Α所不之實施例,該處理流程500,包含一沉積循環, 接連的及交替的暴露於:―第丨稀土前驅物之脈 蘇^ 3魏體、含氮氣體’或含氧及含氮氣體之脈衝;一第2 於之含氧氣體、含氮氣體,或含氧及含氮氣 體之脈衝。依縣發批另—實關,接連的及㈣的暴露步驟 30 200813249 501 及的順序可以反轉,即,步驟5〇6在步驟5〇4之前實施, 以貫現溥膜成長及薄膜組成。 、 、依^本f明之一實施例,各該接連的暴露步驟5〇4及5恥 以毛立L重複一預定次數。於一例中,若步驟504由脈衝序列A Ϊ Χ Ϊ鄕由—脈衝序列3代表,-沉積循環可包含秦其 中1重後一預定次數(即’ ABABAB等)直到該所望的薄膜开; ί;ίί此?Ϊ藝之人士將可輕易地暸解,廣泛的其他沉積循環 為口 此的匕 3 ’ 例如 ABBABB、ΑΑΒΑΑΒ、ΑΒΒΒ、ARAB、 AABB ’ AAABB等’細本發日把實關雜於此等沉積, ίΐ t i f,-其他組合。使用此等不同的沉積循環,能夠於最 稀土$鼠化物薄膜中,沉積包含該第1及第2稀土 兀素jit氮為不同量及不同深度分布之稀土氧氮化物薄膜。 本!s明之另一實施例’於目5A所示之該處理流程,可以 入= 土金屬元素之額外稀土前驅物的額外的脈衝序 ή^έ i種或3種以上不_稀土金屬元素的混合稀土 ηι。,言之’藉由針對各欲混入該薄膜之額 金^素’添加多個脈衝序列,該等脈衝序列包含—稀土 ,物之氣舰_及-錢驗、含氮 含 脈,,能將額外的稀土元素併入該薄膜。於一例;,;=體j ,序列c,包含:-第3稀土前驅物之氣體脈衝,及一含氧氣體脈 艾乳乳體或錢及含氧㈣之脈衝。因此 ί循Γ:Γλ,等,然而本發明之實施例不限 矛貝循裱,可利用A、B,及C之其他組合。 依照本發明之另-實施例,該處理流程5〇〇,類似於犯之 該J理練32〇 ’可純含姑氣舰蚁魏行沖洗排淨或 ,理室之步,該沖洗排淨或排空步驟,可幫助在該交替“ 土刖驅物、含城含氮氣體的多數脈衝 室 何未反狀稀讀驅物、财物、含賴體,;除任 圖5B為-處理流程圖,用於依照本發明之又另一實ς例形成 31 200813249 。參見圖5B,該處理51G開始於步驟 -處理ΐ時 半導縣板崎於―⑽或PEALD系統之 & + ί 3,,ί亥基板暴露於—氣體脈衝’該氣體脈衝包含多數 稀土前驅物具有-不同的稀土金屬枝。^ ^體在該基板上之多數,即,至少2種不同的 之相對濃度可以齡地被控制以修改最 、〕辨二人擁物溥膜組成。於步驟516 ’該基板暴露於-含氧 氣If ’或—含氧及含氮氣體之脈衝。_本發明之 S =程^露步驟_及516可重複-預定次數,如 雍I人所示。為了將氧及氮混入於薄膜中,步驟別 ^之組5應包含至少—含氧之氣體脈衝及至少—含氮之氣體 ϊί^ΓΛΙ—實關,類偷K 3D找處職程360, ^卢理ΐί转可ί、、包含在各氣體脈衝之後進行沖洗排淨或排空 °氣2脈衝之【1:從;空幫助在該多數交替的 物、含氧氣體,私除任何未反應之稀土前驅物、副產 混合稀土鋁酸鹽薄膜 意其他適當的則舰D處理之任 體基=A於」 該美族祕mD々PEALD祕之—處理室時。於步驟_, 恭路於一第1稀土前驅物之氣體脈衝及一含氧氣 體^體脈衝。於步驟,該基板被 —二$ 衝r含氧氣體之氣體脈衝。於步驟應 接連也恭路於-輯驅物之氣體脈衝及—含氧氣體之氣體脈衝。 32 200813249 組合’ 含:氣:2氧’或電裝激發氧’或其 RElxRE2yAla〇m之混合稀土鋁 膜复以形成具有通式 ,f零之數字。該接連的暴露步驟、:,及組為 丈’如遠處理流程箭頭61 卿㈣=重複-預定次 形成之裝置輯之類型或所 介於約5埃及約40埃。 ;|於为5 %及約200埃,或 ► 依照於圖6A所示之實施例,該處 該沉積循環包含接連的及交替 ^ 包含一沉積循環, 衝,一含氧氣體之脈衝、一第2 Hi•一弟1稀土前驅物之脈 之脈衝、-鋁前驅物之脈衝,及,含:=之脈衝、-含氧氣體 之另一實施例,沉積循環之接連的及^^^5^。依照本發明 608的順序可以改變,以實現薄膜成長i薄驟604、606, 依照本發明之一實施例,夂兮拉、= /#M組成。 可以獨立地重複-預定次數。ϋ列$的$步驟604、606,608 A代表,步驟606由一脈衝序列二’ 驟604娜衝序列 X代表,一沉積循環可包含,甘戈f,及步驟606由脈衝序列 (即,ABXABXABX等}直到該戶二上,X可重複一預定次數 人士將可㈣地瞭解,;賴形成。熟悉此項技藝之 其他組合。使用此等不同的沉物,可利用A、B及Χ之 .酸幽中,權含 同深度分布的稀土銘酸鹽薄膜。怖土兀素及銘之不同里及不 依照本發明之另一實施例,於 33 200813249 之額外的稀土前驅物,以形成 元素之混合稀土氧化物薄膜。換k 稀土金屬 之額,稀土金屬元素,添加多個脈衝該薄膜 -稀土賴物之氣體脈衝以及—含氧氣體之列包含 衝。因此—峨環可氣體脈 ί:ί^ 助在多ΐ交替的稀土前驅物、含氧氣二及n 移除任何未反應之稀土前驅物、副產 該暴露步驟604及606可依序重複一預定士 程箭賴2所示,暴露步驟_及_可‘^流 頭610所示。5者,該暴露步驟:〇4二6人,608 了重複預疋次數,如該處理箭頭014所示。 圖6Β為一處理流程圖,用以依照本發明之又另一 二酸㈣膜。參見®犯’該處理62°開始於步驟必 處3時 +導體基板,配置於-勘或PEALD系統之- 射^Ϊ 624 ’該巧被接連地暴露於:—氣體脈衝,包含多數 =土刖驅物’各稀土前驅物具有—不同的稀土金屬元素;及 ΐί衝狄包含含A氣體。各稀土前驅物之靖濃度可以獨立地被 =2,終混合稀土減鹽薄膜之組成。於步驟626,該基板 ϊίίΓΪ於一1呂5驅物,氣體脈衝及—含氧氣體之氣體脈 衝依,、?、本务明之一貫施例,该接連的暴露步驟624及幻6可重 複-預定次數,如該處理流程箭頭628所示。再者,各該暴露步 34 200813249 驟624及626可以獨立地重複一預定次數。 依照本發明之另一實施例,該處理流程620可尚包含在各氣 體脈衝之後進行沖洗排淨或排空該處理室之步驟。該沖洗排淨或 排空步驟可幫助從該處理室移除任何未反應之稀土前驅物、副產 物、含氧氣體,及鋁前驅物。 混合稀土鋁氮化物薄膜 圖7A-7B為處理流程圖、用於依照本發明之實施例,形成混 合稀土鋁酸鹽薄膜。圖7A-7D之該處理流程,可藉由圖i、2之該 ALD/PEALD系統l/ioi,或配置為實施一id/peald處理之任 意其他適當的ALD/PEALD系統實施。 於圖7A,該處理700開始於步驟7〇2,一基板,例如一 反配置於ALD或PEALD系統之-處理室時。於步驟7〇4, ft被ί連地暴露於:包含—第1稀土前驅物之氣體脈衝;及 ϋϋ之讀脈衝。於步驟寫,該基板被接連地暴露於:一 ‘,ΐί?,,及一含氮氣體之氣體脈衝。於步驟 =包r一 s包=,電_氮,或其= 例的物及第2稀土 .㈣烟规之混合稀^酸土鹽m,以形成具有通式 零之數字。該接連的暴露步驟夂^寸及二及η為非 遠處理流程箭頭帽所示 $广預疋次數,如 形成之裝置區域。例於半導體裝置之類型或所 介於約5埃及約40 ^亥雜尽度可介於約$埃及約200埃,或 該沉積循環【含接戶it交 =5:理,,包含積循環, 衝、—含氮氣體之脈衝、』2 —第1稀土前驅物之脈 弟2稀土珂驅物之脈衝、一含氮氣體 35 200813249 前驅,及—含氮氣體之脈衝。依照本發明 7⑽έΛ '儿貝循^衣之接連的及交替的暴露步驟704、706, ^實現細成長及薄膜組成。 可二二士一 Ϊ施例’各該接連的暴露步驟704、706,708 A好,夺^=定次數。於—例中,若步·驟7〇4嫌衝序列 X茯二’料由一脈衝序列B代表,及步驟706嫌衝序列 廣泛的其他沉積魏為可能的,包含,例 妒而太菸 、、^^^ABXX ’ AABXABBX 等。
ft ΤΙ Γ例不限於此等沉積循環,可利用A、B,及X 此等不同的沉積循環,能夠在最終的混合稀土 ; = 及不同深度分布_及第2 該歧流程,可 稀土銘酸鹽薄臈。換言之,藉由針對各所』屬:素j二 等,列包含接連的暴露於- icSΓ ί 例如包含:MCX、ABBCX、ABCCX, A、B C 闕祕概等沉積魏,可利用 依照本發明之另一實施例,於圖7八所示之嗲 7 士 ϊ=ϊ,Ξ,該額Γ脈衝序列包含不同;土:二: 2的稀土禮物’以形成包含3種或3種以上 混合稀土氧化物薄膜。換言之,藉由針對各欲混入該薄膜 36 200813249 I:::念素,加入多個脈衝序列,該等脈衝序列包含 土元素併入該薄膜。於一例中,可列 列C包含一笛Q^ 野斤歹J C ’該脈衝序 衝。因此-沉積循:二物含之^
? ^ B ^ c 4 X
,發明之另一實施㈣處理流程7〇〇可 ,脈衝之錢行沖洗排淨*排空該處理室之步驟。=二J
助在多數交替的稀土前驅物、含氮氣^,及含H 程箭ΐΐί,7G6可依序重複i定次數,如該處理流 干,可贫库^ ’恭路步驟706及708如該處理流程箭頭710所 —預定次數。再者該暴露步驟704、7G6,7⑽如 μ處里刖碩714所示,可重複一預定次數。 處理流侧,用於依照本發明之又另—實施例形成 稀土銘酸鹽薄膜。參見圖7Β,該處理720開始於步驟722 理^ ]如—半導體基板配置於—勘或PEALD系統之一處 私,該基板暴露於:一氣體脈衝,包含多數稀土前驅 气^物ΐ有—不同的稀土金屬元素;及—含氮氣體之 =,衝°各稀切驅物之相對濃度可賴立地被控制以修改最 j 呂氮化物薄膜之組成。於步驟726 ’該基板被接連地暴 =於一鋁W驅物之脈衝及一含氮氣體之脈衝。依照本發明之一實 ΐΐ ’ ΐ接連的暴露步驟724及726可重複一預定次數,如該處 理流程箭頭728所示。 依妝本發明之另一實施例,該處理流程720可尚包含在各氣 體脈衝之後進行沖洗排淨或财該處理室之步驟。該沖洗排淨或 37 200813249 排工步驟可幫助從該處理室移除任何未反應之稀土前驅物、副產 物、含氮氣體,及鋁前驅物。 混合稀土鋁氧氮化物薄膜 圖8A-8B為處理流程圖,用於依照本發明之實施例,形成混 合稀土銘氧氮化物薄膜。圖8A-8D之該處理流程,可藉由圖1、2 之該ALD/PEALD系統1/101,或配置為實施一 ALD/PEALD處理 之任意其他適當的ALD/PEALD系統實施。
於圖8A,该處理800開始於步驟8〇2,一基板,例如一半導 體基板配置於一 ALD或PEALD系統之一處理室時。於步驟8〇4, 該基板,,連地暴露於··一氣體脈衝,包含一第〗稀土前驅物; 及含氧氣體、一含氮氣體,或一含氧及含氮氣體之氣體脈衝。 於步驟806,該基板被接連地暴露於:一第2稀土前驅物之氣體脈 衝,一含氧氣體、一含氮氣體,或一含氧及含氮氣體之氣體脈衝。 於步驟808 ’違基板被接連地暴露於:一銘前驅物之氣體脈衝,及 二含氧氣體、一含氮氣體,或一含氧及含氮之氣體脈衝。該含氧 ,體可包含〇2、耶、邮2、NO、NO、即、臭氧,或電漿激發 氧j或其組合,且選擇性地包含一鈍氣,例如Ar。該含氮氣體可 包含顺3斯2、耶4、術、恥2、凡0,電漿激發氮,或其組合, 且選擇性地包含一鈍氣,例如&。為了將氧及氮併入該薄:膜,步 驟804及806之組合應包含至少一含氧氣體之脈衝及至少一含 氣體之脈衝。當然、,包含NO、N〇2,或秘之氣體,包含氧及^ 1¾去〇 火 依照本發明之實施例, 1稀土(腸)前驅物及第2稀士 (RE2)前驅物包含不同的稀土金屬元素,以形成具有一通式 RElxRE2yAlaOmNn之混合稀土銘氧氮化物薄膜,其中X、m 及η為非零之數字。該接連的暴露步驟8〇4、8〇6,及,如赛 處理流程箭頭814所示,可重複一預定次數,直到已形成具有齊 望厚度之混合稀土IS氧氮化物馳。該廳之_厚度可取決灰 半導體裝置之麵朗形叙裝置區域。例如該賊厚度可仙 38 200813249 約5埃及約200埃,或介於約5 依照於圖8A所示實施例,、力40埃。 沉積循環包含親的及㈣4/4^流程’包含—沉積循環’該 -含氧氣體、含氮氣體或含弟1稀土前驅物之脈衝; 驅物之脈衝;-含氧氣1^5之脈衝;一第2稀土前 -鋁it驅物之脈衝;及,s氧及錢氣體之脈衝-; 體之脈衝。依照本發明之 3體、含氮氣體或含氧及含氮氣 替的暴露步驟804、806,808 沉,環,連的及交 以及薄膜組成。 、、序可以改變,以實現薄膜成長 可以二預,ί該接連的暴露步驟謝、,_ X代表,-沉積循環可it由脈衝序列 (即,ABXABXABX等)直到中ABX可重複一預定次數 人士將可㈣地瞭解,廣泛二熟悉此項技藝之 如剔遞X、AB職乏
然Ϊ”實施例不限於此等沉積循環,可使用B^BX及等X 紹氧氮化物_巾,沉在最終的混合稀土 第2稀土元素、I呂、ΐ 深度分布之該第1及 依照本發明之 入額外的脈衝序列,該脈衝序列句人 二、签^飢私可加 土前驅物,以形成包:以=;的稀土元素之額外的稀 二=1雜。?言之,藉由針對各欲混入該薄膜之額 屬=丄:入多個脈衝序列,該等脈衝序列包含接連 地暴路於·一稀土金屬前驅物之氣體脈衝,以及一 =及容氮氣體之脈衝,能將額外的稀土元素併入該薄膜。二 例中,可加入一脈衝序列c ’該脈衝序列C包含:一前 驅物之氣體脈衝,及一含氧、含氮或含氧及含氮氣體之脈衝。因 39 200813249 此一沉積循環可例如包含:ABCX、ABBCX、ABO:X,ABCXX 等,然而本發明之實施例不限於此等沉積循環,可使用A、B、C, 及X的其他組合。依照本發明之另一實施例,該處理流程8〇〇可 •尚包含在各氣體脈衝之後進行沖洗排淨或排空該處理室之步驟。 •该沖洗排淨或排空步驟可幫助在該交替的稀土前驅物、含氧氣 ~ 體、含氮氣體,及含鋁氣體之脈衝之間,從該處理室移除任何未 反應之稀土前驅物、副產物、鋁前驅物、含氧氣體.,及含氮氣體。 斤該恭露步驟804及806可依序重複一預定次數,如該處理流 程箭頭812所示,暴露步驟806及8〇8可依序重複一預定次數, m 如處理流程箭頭810所示。再者該暴露步驟804、806,808,如該 處理箭頭814所示,可重複一預定次數。 、圖8B為一處理流程圖,用於依照本發明之又另一實施例,形 成一混合稀土銘氧氮化物薄膜。參見圖8B,該處理820開始於步 驟822,一基板,例如一半導體基板配置於一 ALD或PEALD系 統之一處理室時。 /' ^步驟824,該基板被同時地暴露於:一氣體脈衝,包含多數 ,土前,物,各稀土前驅物具有一不同的稀土金屬元素;及一含 ^、含氮,或含氧及含氮氣體之脈衝。各稀土前驅物之相對濃度 可以獨立地被控制以修改最終混合稀土氧氮化物薄膜之組成。於 ,驟絲板被接連地暴露於:—麟驅物之氣體脈衝;一含 、含氮二或含氧及含氮氣體之脈衝。依照本發明之一實施例, 邊接連的暴露步驟824及826如處理流程箭頭828所示,可重複 一預定次數。 ‘ 塒,照本發明之另一實施例’該處理流程820可尚包含在各氣 -衝之後^行沖洗排淨或排空該處理室之步驟。該沖洗排淨或 』工步驟可幫助從該處理室移除任何未反應之稀土前驅物、副產 物、含氡_、含I缝,聽前驅物。 / ,9ΑΛ9Β概要地顯示依照本發明之實施例之包含混合稀土 /糸材料之半導體裝置橫截面視圖。於該概要橫截面視圖,場效(field 200813249 emission)電晶體(FET)9〇 及 91 函包含:—半導體基板92 ;示。於圖9,該 極介電層;及—導電性閉電 ^=溥膜96,作為-閑 稀土系薄膜%可包含多種,即=亥薄膜%之上。該混合 自於:Y、LU、U、Ce、I>r、Nd、Sm ^不同的稀土絲,係擇 Er、Tm,及 。吁々人级 p 加、Eu、Gd、Th、Dy、Ho、 膜、—屍合稀土/ΓΙ^切膜96可為—混合稀土氧化物薄 稀土鋁酸蹿薄膜=一、Θ1淼:一混合稀土氧氮化物薄膜、一混合 氮化物薄ί:絲合;土口系膜呂$3,二或-混合稀土純 之間,或介邮及度可介於約5及約200埃 ΤιΝ、丁iSiN、Mo、ΜοΝ、Re、pt,或 Ru。 面層if 於圖9A之該航90,但尚包含-界 之間。爲ΐ "於,合稀土系薄膜96以及該基板92 化物層=| ".可例如為—氧化物層、—氮化物層,或-氧氮 稀土ΪΪΐίϊί其他實施例,該半導體裝置可包含含有該混合 Β日接^然以上僅述及某些本發明之例示的實施例,但是熟悉本發 俱術領,者應能輕易了解,在不實質地改變本發日狀新賴教^ ^點的範圍内,可以作任何的修改。因此此等修飾包 本發明之範圍内。 【圖式簡單說明】 圖1A—繪示依照本發明之一實施例之ALD系統概要圖。 圖1B繪示依照本發明之一實施例之PEALD系統概要視圖。 圖2A_2F概要地說明依照本發明之實施例之脈衝序 : 形成混合稀土系薄膜。 斤夕J用於 41 200813249 合稀理餘目,錄倾树日狀實補,形成混 薄膜本發明之實關,用於形成混合稀土氮化物 物薄===神狀實關,略戦混讀土氧氮化 物薄本發日狀實關,崎職、;_土峨化 圖8Α-8Β為依照本發明之實施例, 化物薄膜之處理流賴。μ _肖於軸㈤合稀土叙乳氮 图9Α及9Β概要地頒示依照本發明之會 合 系材料之半導體裝置贼面·。^之心例之包3心稀土 【主要元件符號說明】 1 10 20 25 30 31 32 34 36 38 40 42 44 46 ALD系統 處理室 基板支座 基板 上部組件 上部組件 壓力控制系統 真空菜浦系統 閥 導管 第1處理材料供應系統 第2處理材料供應系統 沖洗排淨氣體供應系統 含氣氣體供應系統 42 200813249
48 含氮氣體供應系統 50 含鋁氣體供應系統 52 電源 54 基板電源 56 電漿系統 60 基板溫度控制系統 70 控制器 90 場效電晶體(FET) 91 場效電晶體(FET) 92 半導體基板 94 界面層 96 混合稀土系薄膜 98 導電性閘電極薄膜 100 PEALD系統 200 脈衝序列 202 步驟 204 步驟 210 脈衝序列 212 步驟 214 步驟 220 脈衝序列 222 ‘ 步驟 224 步驟 230 脈衝序列 232 步驟 234 步驟 240 脈衝序列 242 步驟 244 步驟 200813249
250 脈衝序列 252 步驟 254 步驟 300 處理流程 302 步驟 304 步驟 306 步驟 308 箭頭 320 處理流程 322 步驟 324 步驟 326 步驟 328 步驟 330 步驟 332 步驟 334 步驟 336 步驟 338 步驟 340 箭頭 342 箭頭 344 箭頭 350 處理流程 352 步驟 354 步驟 356 步驟 ' 358 箭頭 360 處理流程 362 步驟 364 步驟 200813249
366 步驟 368 步驟 370 步驟 372 箭頭 400 處理流程 402 步驟 404 步驟 406 步驟 408 、箭頭 410 處理流程 412 步驟 414 步驟 416 步驟 418 箭頭 500 處理流程 502 步驟 504 步驟 506 步驟 508 箭頭 510 處理流程 512 步驟 514 步驟 516 步驟 518 箭頭 600 處理流程 602 步驟 604 步驟 606 步驟 608 步驟 200813249
610 箭頭 612 前頭 614 箭頭 620 處理流程 622 步驟 624 步驟 626 步驟 628 箭頭 700 處理流程 702 步驟 704 步驟 706 步驟 708 步驟 710 箭頭 712 箭頭 714 箭頭 720 處理流程 722 步驟 724 步驟 726 步驟 728 箭頭 800 處理流程 802 步驟 804 步驟 806 步驟 808 步驟 810 箭頭 812 箭頭 814 箭頭 200813249 818 箭頭 820 處理流程 822 步驟 824 步驟 — 826 步驟

Claims (1)

  1. 200813249 十、申請專利範固: ^ :種用以祕此合稀土氧化物或練鹽薄膜之方法,包含以下步 將一基板放置於—處理室; 或-體,混合稀土氧化物薄膜 列包含以下,a)、b)及e),望厚度’其巾,該氣體脈衝序 前驅絲嶽—奸第1稀土 氧氣體之氣體脈衝;、恭路乂驟·將该基板暴露於一包含含 氧氣體之氣體脈衝,b將絲Ϊ暴露於—包含含 同的稀土金屬元素;一 b弟及弟2稀土前驅物各包含一不 含含氧氣體之氣體脈衝,*路力驟.將撼板恭露於-包 數;2包^’及f擇性地e),*選擇性地重複—所望次 Ho 及Yb 膜之第1項之用鄉成混合稀土氧化物她酸鹽薄 2、、=, Pr、Nd、Sm、Eu、Gd、Th、Dy、 3膜^範圍第1項之収形成混合稀土氧化物輪酸趟薄 ’ Ϊ中’該含氧氣體包含:02、_,臭氧1 水激發乳,或此等之2種或2種以上的組合。 、乳電 48 200813249 4.如申請專利範圍第1項之用以形成混合稀土氧化物或鋁酸鹽薄 膜之方法,其中,a)包含: 將該弟1及第2暴露步驟交替進行多次。 • 5·如申請專利範圍第1項之用以形成混合稀土氧化物或鋁酸鹽薄 - 膜之方法,其中,b)包含: 將該第1及第2暴露步驟交替進行多次。 6·如申請專利範圍第1項之用以形成混合稀土氧化物或鋁酸鹽薄 膜之方法,其中,c)包含: ⑩ 將该弟1及第2暴露步驟交替進行多次。 7·如申請專利範圍第1項之用以形成混合稀土氧化物或鋁酸鹽薄 膜之方法,其中,尚包含: 於a)、b)或c)至少其中之一之後,將該處理室予以沖洗排淨 或排空。 . 8·如申請專利範圍第1項之用以形成混合稀土氧化物或銘酸鹽薄 膜之方法,其中,尚包含: _ 於a)、b)或c)至少其中之一的第1與第2暴露步驟之間,將 該處理室予以沖洗排淨或排空。 9·如申請專利範圍第1項之用以形成混合稀土氧化物或鋁酸鹽薄 . 膜之方法,其中,尚包含: 實施一或更多額外的暴露步驟,其中,各額外的暴露步驟包 " 含接連地進行第1暴露步驟:將該基板暴露於包含一額外的稀土 前驅物的氣體脈衝,及第2暴露步驟:將該基板暴露於包含一含 氧氣體之氣體脈衝;其中,各該額外的稀土前驅物包含與在該第1 及第2稀土前驅物中之稀土金屬元素為不同的稀土金屬元素。 49 200813249 if·如申請專織il第1奴用⑽成混合稀土氧化物雜酸鹽薄 膜之方法,其中,其中,該混合稀土氧化物薄膜或鋁酸鹽膜之 厚度介於5及200埃之間。 '
    11·如申请專利範圍弟1項之用以形成混合稀土氧化物或銘酸鹽薄 膜之方法,其中,將a)及b)中之第1.暴露步驟同時實施並將句及 b)中之第2暴露步驟同時實施,俾使接連地首先將該基板暴露於一 包含遠第1及第2稀土前驅物兩者之氣體脈衝中,並接著將該基 板暴露於包含含氧氣體之氣體脈衝中。 12·—種甩以形成混合稀土氧化物薄膜之方法,包含以下步驟: a) 將一基板放置於一處理室; b) 將該基板暴露於一包含多數稀土前驅物之氣體脈衝中,各該 稀土前驅物包含一不同的稀土金屬元素; c) 將該基板暴露於一含氧氣體之氣體脈衝;及 d) 將步驟b)及c)重複一所望次數以將一混合稀土氧化物薄膜 沉積至一所望厚度。 、 本·申請專利範圍第12項之用以形成混合稀土氧化物薄膜之方 ^ ’其中,至少2種稀土金屬元素,擇自於·· γ、Lu、La、Ce、 r、_、sm、Eu、Gd、Th、Dy、Ho、Er、Tm、及 Yb。 =·如申請專利範圍第12項之用以形成混合稀土氧化物薄膜之方 ^其中,該含氧氣體包含:〇2、H20、H2〇2、臭氧、電漿激發 乳’或此等之2種或2種以上的組合。 =·如申請專利範圍帛12 j頁之用以形成混合稀土氧化物薄膜之方 法,其中,尚包含: 於遠等暴露步驟中至少之一之後,將該處理室予以沖洗排淨 50 200813249 或排空。 16.如申請專利範圍第12頊之用 法,其中,其中,兮、々人j丄^ 合稀土氧化物薄膜之方 之間Z。、 ’、稀土氧化物薄膜之厚度介於5及200埃 17·-種用以形成混合稀土織鹽薄膜之方法 a) 將一基板放置於一處理室; b) 接連地進行第1暴露步驟 前驅物之氣體脈衝巾,於—包含多數稀土 入;i連Τϋΐ :丨將於—含,體之氣體脈衝; 氣體脈衝,與第2暴霖巧暴露於-鋁前驅物之 脈衝; -a緣板減於-含氧氣體之氣體 積至重複—所望她以將—混合駐織鹽薄膜沉 Pr ^ N," ^ ^ : Y — ^ ^ Ce ^ Gd、Th、Dy、Ho、Er、Tm、及 Yb。 i【如::青專利範圍第17項之用以形成混合稀土鋁 之 等含:〇2、_、桃、臭氧、 乳2此寺之2種或2種以上的組合。 土如,1 月專利範圍第17項之用以形成混合稀土銘酸鹽薄膜之方 法,其中,尚包含: 寸狀·^刀 空。;b)S粗)其中之一之後’將該處理室予以沖洗排淨或排 51 200813249 21.如申請專利範圍第17項之用以形成混合稀土鋁酸鹽薄膜之方 法,其中,尚包含: 於b)或c)至少其中之一的第1與第2暴露步驟之間,將該處 . 理室予以沖洗排淨或排空。 Λ / 22.—種用以形成混合稀土鋁酸鹽薄膜之方法,包含: a) 將一基板放置於一處理室; b) 將該基板暴露於一包含多數稀土前驅物之氣體脈衝中,各該 稀土前驅物包含一不同的稀土金屬元素; c) 將該基板暴露於一含氧氣體之氣體脈衝;及 馨 d)將步驟b)及c)重複一所望次數以將一混合稀土鋁酸鹽薄膜 沉積至一所望厚度。 23. 如申請專利範圍第22項之用以形成混合稀土鋁酸鹽薄膜之方 法,其中,該等稀土金屬元素,擇|M:Y、Lu、La、Ce、Pr、 Nd、Sm、Eu、Gd、Th、Dy、Ho、Er、Tm、及 Yb 〇 24. 如申請專利範圍第22項之用以形成混合稀土鋁酸鹽薄膜之方 法,其中,該含氧氣體包含:〇2、H20、H202、臭氧、電漿激發 B 氧,或此等之2種或2種以上的組合。 25. 如申請專利範圍第22項之用以形成混合稀土鋁酸鹽薄膜之方 法,其中,尚包含: ^ 於該等暴露步驟至少其中之一之後,將該處理室予以沖洗排 淨或排空。 十一、圖式: 52
TW096110747A 2006-03-31 2007-03-28 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition TW200813249A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/278,387 US20070237697A1 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition

Publications (1)

Publication Number Publication Date
TW200813249A true TW200813249A (en) 2008-03-16

Family

ID=38178054

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110747A TW200813249A (en) 2006-03-31 2007-03-28 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition

Country Status (6)

Country Link
US (1) US20070237697A1 (zh)
JP (1) JP2009532881A (zh)
KR (2) KR101366541B1 (zh)
CN (1) CN101460658B (zh)
TW (1) TW200813249A (zh)
WO (1) WO2007115029A2 (zh)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7772073B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP6151335B2 (ja) * 2011-01-14 2017-06-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171960B2 (en) * 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN105386006B (zh) * 2015-11-11 2017-09-22 南通大学 前驱体时间分隔式制备镓酸铋薄膜的方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095185A1 (ko) * 2015-12-03 2017-06-08 인천대학교 산학협력단 기능성 필터 및 그 제조방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP7114072B2 (ja) * 2018-12-06 2022-08-08 株式会社高純度化学研究所 ビス(アルキルテトラメチルシクロペンタジエニル)亜鉛、化学蒸着用原料、および亜鉛を含有する薄膜の製造方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220042172A1 (en) * 2020-08-07 2022-02-10 Lawrence Livermore National Security, Llc System and method for atomic layer deposition of rare-earth oxides on optical grade materials for laser gain media
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
TW468212B (en) * 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
US7273657B2 (en) * 2000-08-08 2007-09-25 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US6770923B2 (en) * 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
EP1294021A1 (de) * 2001-08-31 2003-03-19 Infineon Technologies AG Kondensatoreinrichtung für eine Halbleiterschaltungsanordnung und Verfahren zu deren Herstellung
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
JP3756456B2 (ja) * 2002-03-07 2006-03-15 富士通株式会社 半導体装置の製造方法
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6680130B2 (en) * 2002-05-28 2004-01-20 Agere Systems, Inc. High K dielectric material and method of making a high K dielectric material
JP3790751B2 (ja) * 2002-07-19 2006-06-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 電子デバイス及び電界効果型トランジスタ・デバイス
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
JP2004111741A (ja) * 2002-09-19 2004-04-08 Semiconductor Leading Edge Technologies Inc 半導体装置及び半導体装置の製造方法
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6828200B2 (en) * 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4357224B2 (ja) * 2003-07-22 2009-11-04 株式会社東芝 半導体装置
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
JP4483364B2 (ja) * 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2005340721A (ja) * 2004-05-31 2005-12-08 Anelva Corp 高誘電率誘電体膜を堆積する方法
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials

Also Published As

Publication number Publication date
CN101460658B (zh) 2011-11-09
KR20140022454A (ko) 2014-02-24
KR20080110883A (ko) 2008-12-19
CN101460658A (zh) 2009-06-17
US20070237697A1 (en) 2007-10-11
WO2007115029A2 (en) 2007-10-11
WO2007115029A3 (en) 2007-11-29
JP2009532881A (ja) 2009-09-10
KR101366541B1 (ko) 2014-02-25

Similar Documents

Publication Publication Date Title
TW200813249A (en) Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
TWI359875B (en) Method of forming mixed rare earth nitride and alu
TWI276700B (en) Atomic layer deposition of nanolaminate film
TWI263695B (en) Atomic layer deposition of oxide film
Profijt et al. Plasma-assisted atomic layer deposition: basics, opportunities, and challenges
CN104046955B (zh) 用于在低温下沉积SiN的Si前体
TWI278532B (en) Method for energy-assisted atomic layer deposition and removal
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
TWI554636B (zh) 由金屬脒鹽前驅物製造介電膜的方法
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
KR20160095643A (ko) 선택적 퇴적
TW200823309A (en) ALD of metal silicate films
JPH11238698A (ja) 原子層蒸着工程を用いた金属層形成方法
JP2002343790A (ja) 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
TW201113933A (en) Pulsed chemical vapor deposition of metal-silicon-containing films
US20100035423A1 (en) Method of controlling interface layer thickness in high dielectric constant film structures
TW201041037A (en) Method for forming a high-k gate stack with reduced effective oxide thickness
TW200818263A (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
TWI508176B (zh) 具有起始層之n型金屬薄膜沉積
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
TW201202465A (en) Titanium-containing precursors for vapor deposition
TW200805570A (en) Semiconductor device with gate dielectric containing mixed rare earth elements
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
TW201123304A (en) Semiconductor device and damascene structure