KR20170017779A - 알루미늄 및 질소 함유 물질의 선택적 퇴적 - Google Patents

알루미늄 및 질소 함유 물질의 선택적 퇴적 Download PDF

Info

Publication number
KR20170017779A
KR20170017779A KR1020160099080A KR20160099080A KR20170017779A KR 20170017779 A KR20170017779 A KR 20170017779A KR 1020160099080 A KR1020160099080 A KR 1020160099080A KR 20160099080 A KR20160099080 A KR 20160099080A KR 20170017779 A KR20170017779 A KR 20170017779A
Authority
KR
South Korea
Prior art keywords
substrate
aluminum
precursor
nitrogen
deposition
Prior art date
Application number
KR1020160099080A
Other languages
English (en)
Inventor
한 왕
치 시에
델프히네 롱리에
얀 빌럼 마스
로에스트 데이비드 데
줄리앤 시에
치위 주
티모 아시카이넨
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170017779A publication Critical patent/KR20170017779A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • H01L51/0081
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/30Coordination compounds
    • H10K85/321Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3]
    • H10K85/324Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3] comprising aluminium, e.g. Alq3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판의 도전성인 제 1 표면 위에 Al 및 N을 함유하는 물질을 동일한 상기 기판의 유전체인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위한 방법들이 제공된다. 일부 태양들에 있어서, 집적 회로 제조에 사용하기 위한 Al 및 N을 함유하는 보호층 또는 식각 정지막을 형성하는 방법들이 제공된다.

Description

알루미늄 및 질소 함유 물질의 선택적 퇴적 {SELECTIVE DEPOSITION OF ALUMINUM AND NITROGEN CONTAINING MATERIAL}
본 출원은 기판의 제 1 표면 위에 알루미늄 및 질소를 함유하는 물질을, 예를 들면 Al 및 N을 함유하는 박막을 제 2 표면에 대하여 상대적으로 선택적 퇴적시키는 것에 관한 것이다.
현재 집적 회로들은 다양한 물질들의 층들을 반도체 기판 위에 소정의 배열로 순차적으로 구성하는 정교한 공정에 의하여 제조된다.
반도체 기판 위에서의 물질들의 상기 소정의 배열은 기판의 전체 표면 위에 물질을 퇴적시킨 후, 마스크 층의 퇴적 및 그에 후속되는 선택적 식각 공정과 같이 상기 기판의 소정 면적들로부터 상기 물질을 제거함으로써 종종 달성된다.
특정 경우들에 있어서, 기판 위에 집적된 표면을 제조하는 데 수반되는 단계들의 수는 선택적 퇴적 공정을 이용함으로써 감소될 수 있다. 여기서 후속되는 처리가 불필요하거나 후속 처리의 필요를 감소시키면서 제 1 표면 위에서 물질이 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 여기서는 기판의 제 1 표면 위에서 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적이 일어나도록 하기 위한 방법들이 개시된다.
일부 태양들에 있어서, 알루미늄 및 질소를 포함하는 물질을 선택적으로 퇴적시키기 위한 공정들이 제공된다. 일부 실시예들에 있어서, 기판을 알루미늄을 포함하는 제 1 기상 전구체와 접촉시키는 단계 및 상기 기판을 질소를 포함하는 제 2 기상 전구체와 접촉시키는 단계를 포함하는 퇴적 사이클들을 하나 이상 포함하는 공정에서 알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 유전체인 제 2 표면에 대하여 상대적으로 퇴적된다. 일부 실시예들에 있어서, 기판을 알루미늄을 포함하는 제 1 기상 전구체와 접촉시키는 단계 및 상기 기판을 질소를 포함하는 제 2 기상 전구체와 접촉시키는 단계를 포함하는 퇴적 사이클들을 하나 이상 포함하는 공정에서 알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 Si-O인 제 2 표면에 대하여 상대적으로 퇴적된다. 일부 실시예들에 있어서, 기판을 알루미늄을 포함하는 제 1 기상 전구체와 접촉시키는 단계 및 상기 기판을 질소를 포함하는 제 2 기상 전구체와 접촉시키는 단계를 포함하는 퇴적 사이클들을 하나 이상 포함하는 공정에서 알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 비도전성인 제 2 표면에 대하여 상대적으로 퇴적된다. 일부 실시예들에 있어서, 알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에서 동일한 상기 기판의 유전체인 제 2 표면에 대하여 약 50%보다 큰 선택도로 상대적으로 퇴적된다. 일부 실시예들에 있어서, 상기 제 1 표면은 구리, 티타늄 질화물, 텅스텐 및 실리콘 질화물 중 적어도 하나를 포함한다. 일부 실시예들에 있어서, 상기 알루미늄 및 질소를 포함하는 물질은 알루미늄 질화물 박막이다. 일부 실시예들에 있어서, 상기 알루미늄 질화물 박막은 산소를 포함한다.
일부 실시예들에 있어서, 알루미늄을 포함하는 상기 제 1 기상 전구체는 유기금속 알루미늄 화합물이다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 알루미늄 이외에는 어떤 금속도 포함하지 않는다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 R3Al의 화학식을 갖고, R은 각각 독립적으로 C1 내지 C4 알킬기들에서 선택될 수 있다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 할로겐화물은 포함하지 않는다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 하나의 염소 리간드 및 두 개의 알킬 리간드들을 포함한다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 적어도 하나의 수소 리간드 및 적어도 하나의 알킬 리간드를 포함한다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 질소, 실리콘, 또는 산소를 포함하지 않는다. 일부 실시예들에 있어서, 알루미늄을 포함하는 기상의 상기 제 1 전구체는 트리에틸알루미늄 (triethylaluminum, TEA), 트리메틸알루미늄 (trimethylaluminum, TMA) 또는 트리-tert-부틸알루미늄 (tritertbutylaluminum, TTBA)을 포함하고, 질소를 포함하는 기상의 상기 제 2 전구체는 NH3를 포함한다.
일부 실시예들에 있어서, 유전체인 상기 제 2 표면은 Si-O 본드들을 포함한다. 일부 실시예들에 있어서, 상기 공정은 열적 원자층 증착(atomic layer deposition, ALD) 공정을 포함한다. 일부 실시예들에 있어서, 상기 공정은 적어도 2회의 연속되는 퇴적 사이클들에서 플라스마를 포함하지 않는다. 일부 실시예들에 있어서, 상기 공정은 제 1 퇴적 사이클에 앞서 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함한다. 일부 실시예들에 있어서, 상기 전처리 반응물은 플라스마를 포함한다. 일부 실시예들에 있어서, 제 1 퇴적 사이클에 앞서 상기 기판을 전처리 반응물에 노출시키는 단계는 약 2보다 더 큰 인수(factor)만큼 선택도를 향상시킨다. 일부 실시예들에 있어서, 상기 공정은 적어도 하나의 퇴적 사이클 이후에 상기 기판을 플라스마에 노출시키는 단계를 더 포함한다. 일부 실시예들에 있어서, 상기 기판은 퇴적 사이클들을 10회보다 더 많이 수행한 후에 플라스마에 노출된다.
일부 실시예들에 있어서, 알루미늄 및 질소를 포함하는 상기 물질은 희석된 HF 내에서 SiO2에 대하여 식각 선택도를 갖는다. 일부 실시예들에 있어서, 상기 기판의 제 1 표면 위에, 동일한 기판의 유전체인 상기 제 2 표면에 대하여 상대적으로 퇴적된 알루미늄 및 질소를 포함하는 물질의 비가 약 10:1보다 더 크고, 상기 기판의 제 1 표면 위에 퇴적된, 알루미늄 및 질소를 포함하는 물질의 두께는 약 5 nm보다 더 크다. 일부 실시예들에 있어서, 상기 기판의 제 1 표면 위에, 동일한 기판의 유전체인 상기 제 2 표면에 대하여 상대적으로 퇴적된 알루미늄 및 질소를 포함하는 물질의 비가 약 10:1보다 더 크고, 상기 기판의 제 1 표면 위에 퇴적된, 알루미늄 및 질소를 포함하는 물질의 두께는 약 1 nm보다 더 크다. 일부 실시예들에 있어서, 상기 기판의 제 1 표면 위에, 동일한 기판의 유전체인 상기 제 2 표면에 대하여 상대적으로 퇴적된 알루미늄 및 질소를 포함하는 물질의 비가 약 10:1보다 더 크고, 상기 공정은 약 1회 내지 약 25회 퇴적 사이클들을 포함한다. 일부 실시예들에 있어서, 상기 기판의 제 1 표면 위에, 동일한 기판의 유전체인 상기 제 2 표면에 대하여 상대적으로 퇴적된 알루미늄 및 질소를 포함하는 물질의 비가 약 10:1보다 더 크고, 상기 공정은 약 1회 내지 약 150회 퇴적 사이클들을 포함한다. 일부 실시예들에 있어서, 약 1회 내지 약 25회의 퇴적 사이클들 이후에 알루미늄 및 질소를 포함하는 물질이 상기 기판의 유전체인 상기 제 2 표면 위에 약 0.1 nm 미만으로 퇴적된다. 일부 실시예들에 있어서, 퇴적된 상기 알루미늄 및 질소를 함유하는 물질의 습식 식각 속도와 SiO2의 습식 식각 속도의 비율은 약 1:5 미만이다.
일부 실시예들에 있어서, 상기 기판의 유전체인 상기 제 2 표면은 소스/드레인 영역 위에 가로 놓이고, 상기 공정은 상기 기판의 유전체인 상기 제 2 표면을 제거함으로써 상기 기판의 소스/드레인 영역을 노출시키는 단계, 및 상기 기판의 노출된 상기 소스/드레인 영역 위에 콘택을 형성하는 단계를 더 포함한다.
일부 태양들에 있어서, 기판의 제 1 표면 위에, 동일한 기판의 유전체인 제 2 표면에 대하여 상대적으로 AlN을 선택적으로 퇴적시키기 위한 공정들이 제공된다. 일부 실시예들에 있어서, 상기 공정은 상기 기판을 기상의 트리-tert-부틸알루미늄 (tritertbutylaluminum, TTBA) 및 기상의 NH와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함하는 퇴적 사이클들을 하나 이상 포함할 수 있다. 일부 실시예들에 있어서, AlN이 상기 기판의 제 1 표면 위에서, 동일한 기판의 유전체인 제 2 표면에 대하여 약 50%보다 큰 선택도로 상대적으로 퇴적된다. 일부 실시예들에 있어서, 유전체인 상기 제 2 표면은 Si-O 본드들을 포함한다. 일부 실시예들에 있어서, 상기 공정은 열적 원자층 증착(atomic layer deposition, ALD) 공정을 포함한다. 일부 실시예들에 있어서, 상기 공정은 적어도 2회의 연속되는 퇴적 사이클들에서 플라스마를 포함하지 않는다. 일부 실시예들에 있어서, 상기 공정은 제 1 퇴적 사이클에 앞서 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함한다. 일부 실시예들에 있어서, 상기 전처리 반응물은 플라스마를 포함한다. 일부 실시예들에 있어서, 상기 공정은 여기서 앞서 설명된 임의의 다른 실시예의 특징들의 일부 또는 전부를 포함할 수 있다.
일부 태양들에 있어서, 자기-정렬된 콘택 형성물 내에 식각 정지막을 형성하기 위한 공정들이 제공된다. 일부 실시예들에 있어서, 공정은 소스/드레인 영역 위에 가로 놓이는 유전체인 제 2 표면 및 제 1 표면을 포함하는 반도체 기판을 제공하는 단계, 상기 기판의 제 1 표면에 리세스를 형성하기 위하여 상기 기판의 제 1 표면의 일부를 제거하는 단계, 상기 제 1 표면 상에 유전체인 상기 제 2 표면에 대하여 상대적으로 알루미늄 및 질소를 포함하는 물질을 선택적으로 퇴적시키는 단계, 상기 기판의 유전체인 상기 제 2 표면을 제거함으로써 상기 기판의 소스/드레인 영역을 노출시키는 단계, 및 상기 기판의 노출된 상기 소스/드레인 영역 위에 콘택을 형성하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은 구리, 티타늄 질화물, 텅스텐 및 실리콘 질화물 중 적어도 하나를 포함한다. 일부 실시예들에 있어서, 상기 알루미늄 및 질소를 포함하는 물질은 알루미늄 질화물 박막이다. 일부 실시예들에 있어서, 상기 알루미늄 질화물 박막은 산소를 포함한다. 일부 실시예들에 있어서, 상기 공정은 여기서 앞서 설명된 임의의 다른 실시예의 특징들의 일부 또는 전부를 포함할 수 있다.
본 발명은 상세한 설명으로부터 그리고 첨부 도면들로부터 더 잘 이해될 것이며, 이들은 본 발명을 예시할 의도일 뿐이며 본 발명을 한정하고자 하는 것이 아니다.
도 1은 Al 및 N을 포함하는 물질을 기판의 제 1 표면 위에, 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위한 퇴적 공정의 흐름을 나타낸다.
도 2는 AlN를 기판의 제 1 표면 위에, 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위한 퇴적 공정의 흐름을 나타낸다.
도 3은 자기-정렬된 콘택 구조물들을 형성하기 위한 공정 흐름을 나타낸다.
도 4는 자기-정렬된 콘택 구조물들을 형성하기 위한 다른 공정 흐름을 나타낸다.
도 5는 TiN의 제 1 표면 위에서 SiO2의 제 2 표면에 대하여 상대적으로 선택적 퇴적된 Al 및 N을 함유하는 물질에 있어서 퇴적 사이클들의 수에 대한 퇴적된 물질 두께의 그래프이다.
도 6은 TiN의 제 1 표면 위에서 SiO2 및 자연 산화물의 제 2 표면에 대하여 상대적으로 선택적 퇴적된 Al 및 N을 함유하는 물질에 있어서 퇴적 사이클들의 수에 대한 퇴적된 물질 두께의 그래프이다.
도 7은 TiN의 제 1 표면 위에서 자연 산화물의 제 2 표면에 대하여 상대적으로 선택적 퇴적된 Al 및 N을 함유하는 물질에 있어서 퇴적 사이클들의 수에 대한 퇴적된 물질 두께의 그래프이다.
도 8은 TiN 또는 W의 제 1 표면 위에서 SiO2의 제 2 표면에 대하여 상대적으로 선택적 퇴적된 Al 및 N을 함유하는 물질에 있어서 퇴적 사이클들의 수에 대한 퇴적된 물질 두께의 그래프이다.
일부 상황들에 있어서는, 기판의 한 표면 위에 알루미늄 질화물(AlN)과 같이 알루미늄(Al)과 질소(N)를 포함하는 물질을 동일한 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키는 것이 바람직하다. 예를 들면, Al 및 N을 함유하는 물질의 선택적 퇴적은 캡핑 층들, 장벽 층들, 또는 콘택 식각 정지막과 같은 식각 정지막들을 형성하기 위하여 사용될 수 있다. 예를 들면, 기판의 제 1 표면 위에 Al 및 N을 함유하는 물질이 동일한 기판의 유전체 표면과 같은 상이한 제 2 표면에 비하여 우선적으로 선택적 퇴적될 수 있다.
일부 실시예들에 있어서, 제 1 표면 및 그와 상이한 표면인 제 2 표면을 포함하는 기판이 제공되며, 복수의 퇴적 사이클들을 포함하는 ALD 타입의 공정을 이용하여 상기 제 1 표면 위에 Al 및 N을 함유하는 물질이 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 여기서 각 사이클은 상기 기판을 기상의 제 1 전구체 및 기상의 제 2 전구체와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 선택적으로 퇴적된 상기 Al 및 N을 함유하는 물질은 AlN이다.
일부 실시예들에 있어서, AlN과 같이 알루미늄 및 질소를 함유하는 물질이, 도전성 표면과 유전체 표면을 모두 포함하는 기판의 금속 표면 위에 선택적으로 퇴적된다. 일부 실시예들에 있어서, 기판의 도전성 제 1 표면 위에, 예컨대 Cu, W, 또는 TiN 표면 위에 AlN이 동일한 기판의 유전체인 제 2 표면, 예컨대 SiO2 표면 또는 로우-k 표면에 대하여 상대적으로 선택적으로 퇴적된다. 일부 실시예들에 있어서, 기판의 도전성이 아닌 제 1 표면 위에, 예컨대 SiN 표면 위에 AlN이 동일한 기판의 유전체인 제 2 표면, 예컨대 SiO2 표면 또는 로우-k 표면에 대하여 상대적으로 선택적으로 퇴적된다. 일부 실시예들에 있어서, Cu 표면 위에 AlN가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, W 표면 위에 AlN가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, TiN 표면 위에 AlN가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, SiN 표면 위에 AlN가 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적된다.
ALD 타입 공정들
ALD 타입 공정들은 전구체 케미컬들의 제어되고 자기-제한적인 표면 반응들에 기초한다. 기상 반응들은 기판을 전구체들과 교대적으로 그리고 순차적으로 접촉시킴으로써 회피된다. 기상 반응물들은 예를 들면, 반응물 펄스들 사이에서 과량의 반응물들 및/또는 반응 부산물들을 반응 챔버로부터 제거함으로써 기판 표면 위에서 서로로부터 분리된다. 일부 실시예들에 있어서, 하나 이상의 기판 표면들은 둘 이상의 기상 전구체들 또는 반응물들과 교대적으로 그리고 순차적으로 접촉된다. 기판 표면을 기상 반응물과 접촉시킨다는 것은 상기 반응물의 증기가 한정된 시간 동안 상기 기판 표면과 접촉함을 의미한다. 바꾸어 말하면, 상기 기판 표면이 한정된 시간 동안 각 기상 반응물에 노출되는 것으로 이해될 수 있다.
간단히 말해서, 적어도 제 1 표면 및 그와 상이한 표면인 제 2 표면을 포함하는 기판이 적절한 퇴적 온도로, 일반적으로는 하강된 압력에서 가열된다. 퇴적 온도들은 상기 반응물들의 열분해 온도보다 낮지만 반응물들의 응축을 방지하고 원하는 표면 반응들을 위한 활성화 에너지를 제공하기에 충분히 높은 온도로 일반적으로 유지된다. 물론 주어진 임의의 ALD 반응에 대하여 적절한 온도 윈도우(window)는 관련된 반응물 종들과 표면 종결에 의존할 것이다. 여기서 상기 온도는 사용되는 전구체들에 따라 달라지며, 약 500℃ 또는 그 미만인 것이 바람직하고, 약 250℃ 내지 약 500℃ 사이인 것이 바람직하고, 약 275℃ 내지 약 450℃ 사이인 것이 더욱 바람직하고, 약 300℃ 내지 약 425℃ 사이인 것이 더욱 바람직하고, 그리고 약 325℃ 내지 약 400℃ 사이인 것이 가장 바람직하다.
상기 기판의 표면은 기상의 제 1 반응물과 접촉된다. 일부 실시예들에 있어서, 기상의 제 1 반응물의 펄스가 상기 기판을 수용한 반응 공간으로 제공된다. 일부 실시예들에 있어서, 기판이 기상의 제 1 반응물을 수용한 반응 공간으로 이동된다. 상기 기판 표면 위에 상기 제 1 반응물의 모노레이어가 대략 하나보다 많지 않게 자기-제한적인 방식으로 흡착되도록 바람직하게 조건들이 선택된다. 적절한 접촉 시간들은 특정 환경들에 기초하여 통상의 기술자가 용이하게 결정할 수 있다. 과량의 제 1 반응물 및 반응 부산물들은, 만일 있다면, 예컨대 불활성 기체로 퍼지함으로써 또는 상기 기판을 상기 제 1 반응물의 존재로부터 제거함으로써 상기 기판 표면으로부터 제거된다.
퍼지(purge)는 진공 펌프로 챔버를 비워냄으로써 및/또는 아르곤 또는 질소와 같은 불활성 기체로 반응기의 내부의 가스를 대체함으로써 기상의 전구체들 및/또는 기상의 부산물들을 상기 기판의 표면으로부터 제거하는 것을 의미한다. 통상의 퍼지 시간은 약 0.05초 내지 약 20초이고, 더욱 바람직하게는 약 1초 내지 약 10초이고, 더더욱 바람직하게는 약 1초 내지 약 2초이다. 그러나, 극히 높은 종횡비를 갖는 구조물들 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들 위에 고도로 콘포말(conformal)한 스텝 커버리지가 필요한 경우와 같이 다른 퍼지 시간들도 필요하다면 사용될 수 있다.
상기 기판의 표면은 기상의 제 2 가스 반응물과 접촉된다. 일부 실시예들에 있어서, 가스상 제 2 반응물의 펄스는 상기 기판을 수용하는 반응 공간에 제공된다. 일부 실시예들에 있어서, 기판이 기상의 제 2 반응물을 수용한 반응 공간으로 이동된다. 과량의 제 2 반응물 및 상기 표면 반응의, 기체인 반응 부산물들은, 만일 있다면, 상기 기판 표면으로부터 제거된다. 상기 접촉시키는 단계 및 제거하는 단계는 상기 기판의 제 1 표면 위에 원하는 두께의 박막이 선택적으로 형성될 때까지 반복되며, 각 사이클은 분자 모노레이어(monolayer)를 대략 하나보다 많지 않게 잔존시킨다. 삼원계 물질들과 같이 더욱 복잡한 물질들을 형성하기 위하여 기판의 표면을 다른 반응물들과 교대적으로 및 순차적으로 접촉시키는 추가적인 단계들이 포함될 수 있다.
위에서 언급된 바와 같이, 각 사이클의 각 단계는 자기-제한적인 것이 바람직하다. 과량의 반응물 전구체들이 각 단계에서 공급되어 수용 가능한(susceptible) 구조물 표면들을 포화시킨다. 표면 포화는 (예를 들면 물리적인 크기 또는 "입체 장애" 제한들에 따라) 사용 가능한 모든 반응성 사이트들이 반응물로 점유되는 것을 보장하며, 따라서 우수한 스텝 커버리지를 보장한다. 통상 물질의 하나 미만의 분자층이 각 사이클에서 퇴적되나, 일부 실시예들에 있어서, 하나보다 많은 분자층이 사이클 동안 퇴적된다.
과량의 반응물들을 제거하는 단계는 반응 공간의 내용물의 일부를 비워내는 단계 및/또는 반응 공간을 헬륨, 질소, 또는 다른 불활성 가스로 퍼지하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 퍼지하는 단계는 상기 반응 공간으로의 불활성 캐리어 가스의 흐름을 계속하는 동안 상기 반응성 가스의 흐름을 차단하는 단계를 포함할 수 있다.
상기 기판은 다양한 타입의 물질들을 포함할 수 있다. 집적 회로들을 제조할 때, 상기 기판은 통상적으로 다양한 화학적 및 물리적 물성들을 갖는 수많은 박막들을 포함한다. 예를 들면, 상기 기판은 유전체 층과 금속 층을 포함할 수 있지만 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 상기 기판은 금속 카바이드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판은 도전성 산화물을 포함할 수 있다.
바람직하게는 상기 기판은 금속 표면 또는 금속성 표면과 같은 도전성 표면을 포함하는 제 1 표면을 갖는다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속 질화물을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 하나 이상의 전이 금속들을 포함한다. 상기 전이 금속은 Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir 및 Pt로 구성되는 군으로부터 선택될 수 있다. 다른 실시예들에 있어서, 상기 전이 금속들은 Fe, Co, 및 Ni로 구성되는 군으로부터 선택된다. 일부 실시예들에 있어서, 상기 제 1 표면은 구리를 포함하는 것이 바람직하다. 일부 실시예들에 있어서, 상기 제 1 표면은 귀금속을 포함한다. 상기 귀금속은 Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 및 Ru로 구성되는 군으로부터 선택될 수 있다. 바람직한 일부 실시예들에 있어서, 상기 제 1 표면은 Cu, W, TiN 또는 SiN 중의 적어도 하나를 포함한다.
일부 실시예들에 있어서, 상기 제 1 표면은 둘 이상의 물질, 예컨대 TiN 및 SiN을 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 1 표면은 금속 실리사이드, 예컨대 전이 금속 실리사이드를 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 예컨대 전이 금속 카바이드 또는 탄소-함유 전이 금속 물질과 같이 전이 금속을 포함하는 금속성 막을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 Al을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면은 금속들의 합금 또는 금속성 물질들의 합금을 포함한다.
상기 제 2 표면은 유전체 표면, 예컨대 SiO2, GeO2, 또는 로우-k 표면인 것이 바람직하다. 일부 실시예들에 있어서, 상기 유전체는 SiO2를 포함한다. 일부 실시예들에 있어서, 상기 유전체는 다공성 물질이다. 일부 실시예들에 있어서, 다공성의 상기 유전체는 서로 연결된 기공들을 포함하고, 한편 다른 실시예들에 있어서, 상기 기공들은 서로 연결되어 있지 않다. 일부 실시예들에 있어서, 상기 유전체는 대략 4.0 미만의 유전 상수를 갖는 절연체로 정의되는 로우-k 물질을 포함한다. 일부 실시예들에 있어서, 상기 로우-k 물질의 유전 상수는 약 3.5 미만이고, 약 3.0 미만이고, 약 2.5 미만이고, 약 2.3 미만이다. 일부 실시예들에 있어서, 상기 제 2 표면은 Si-O 결합들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 예컨대 플라스마 처리에 의하여 비활성화된다. 일부 실시예들에 있어서, 상기 제 2 표면은 비-도전성 표면이다. 일부 실시예들에 있어서, 상기 제 2 표면은 대략 1 오옴·m보다 큰 비저항(resistivity)을 갖는다. 일부 실시예들에 있어서, 상기 제 2 표면은 Si-O 결합들을 포함하고 대략 1 오옴·m보다 작은 비저항을 갖는다. 상기 용어 유전체는 간편함을 위하여 다른 제 1 표면 즉, 상기 금속 또는 금속성 표면과 구별하기 위해 여기서 사용된다. 특정 실시예들과 관련하여 달리 표시되지 않는다면, 본 출원의 문맥에 있어서 상기 용어 유전체는 매우 높은 비저항을 갖는 모든 표면들을 가리키는 것으로 이해될 수 있다.
상기 ALD 타입 공정들에 채용된 전구체들은 기판 표면과 접촉되기 전까지 상기 전구체들이 기상일 수 있다면 표준 상태(실온 및 대기압)에서 고체, 액체, 또는 기체 물질들일 수 있다. 기판 표면을 기화된 전구체와 접촉시킨다는 것은 전구체의 증기가 제한된 시간 동안 상기 기판 표면과 접촉하는 것을 의미한다. 통상, 상기 접촉 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판의 타입과 그의 표면적에 따라 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 일부 경우들에 있어서는 접촉 시간이 분(分)의 단위(order)일 수 있다. 최적의 접촉 시간은 특정 환경들에 기초하여 통상의 기술자가 결정할 수 있다.
또한, 상기 전구체들의 질량 흐름 속도는 통상의 기술자에 의하여 결정될 수 있다. 일부 실시예들에 있어서, 금속 전구체들의 흐름 유속은 약 1 sccm 내지 약 1000 sccm 사이인 것이 바람직하고, 약 100 sccm 내지 약 500 sccm 사이인 것이 더욱 바람직하지만 여기에 한정되는 것은 아니다.
반응 챔버 내의 압력은 통상 약 0.01 mbar 내지 약 20 mbar이고, 약 1 mbar 내지 약 10 mbar인 것이 더욱 바람직하다. 그러나, 주어진 특정한 환경에 따라 통상의 기술자에 의하여 결정될 수 있는 바와 같이 일부 경우들에 있어서 상기 압력은 이러한 범위보다 더 높거나 더 낮을 것이다.
막의 퇴적을 개시하기 전, 상기 기판은 통상 적절한 성장 온도로 가열된다. 상기 성장 온도는 형성되는 박막의 타입, 전구체들의 물리적 성질들 등에 따라 변화한다. 상기 성장 온도들은 형성되는 박막의 각 유형을 참조하여 아래에서 더욱 상세하게 설명한다. 상기 성장 온도는, 비결정질의 박막이 형성되도록 퇴적되는 물질들에 대한 결정화 온도보다 낮거나, 또는 결정질의 박막이 형성되도록 결정화 온도보다 높을 수 있다. 바람직한 퇴적 온도는 반응물 전구체들, 압력, 흐름 속도, 반응기의 배열, 퇴적되는 박막의 결정화 온도, 및 기판 위에 퇴적될 물질의 성질을 포함한 기판의 조성과 같은 수많은 인자들에 의존하여 변화할 수 있지만 이들에 한정되는 것은 아니다. 구체적인 성장 온도는 통상의 기술자에 의하여 선택될 수 있다.
박막들을 성장시키기 위하여 사용될 수 있는 반응기들은 상기 퇴적을 위하여 사용될 수 있다. 그러한 반응기들은 상기 전구체들을 제공하기 위한 적절한 장비 및 수단들을 구비한 CVD 반응기들 뿐만 아니라 ALD 반응기들을 포함한다. 일부 실시예들에 따르면 샤워헤드 반응기가 사용될 수 있다.
사용될 수 있는 적절한 반응기들의 예들은 애리조사주 피닉스의 ASM America, Inc. 및 네덜란드 알미어(Almere)의 ASM Europe B.V.로부터 입수 가능한 F-120ㄾ 반응기, F-450ㄾ 반응기, Pulsarㄾ 반응기들 - Pulsarㄾ 2000 및 Pulsarㄾ 3000과 같은 - EmerALDㄾ 반응기 및 Advanceㄾ 400 Series 반응기와 같은 상업적으로 입수 가능한 ALD 장비를 포함한다. 상업적으로 입수 가능한 다른 반응기들은 ASM Japan KK(일본, 동경)에서 나온 상표명 Eagleㄾ XP 및 XP8 제품을 포함한다.
일부 실시예들에 있어서, 뱃치(batch) 반응기가 사용될 수 있다. 적절한 뱃치 반응기들은 ASM Europe B.V(네덜란드 알미어)로부터 ALDA400TM 및 A412TM의 상표명으로 상용으로 입수 가능한 반응기들을 포함하지만 여기에 한정되는 것은 아니다. 일부 실시예들에 있어서, 상기 A412TM와 같이, 처리하는 동안 보우트가 회전하는 수직형 뱃치 반응기가 사용된다. 따라서, 일부 실시예들에 있어서, 상기 웨이퍼들은 처리되는 동안 회전한다. 뱃치 반응기가 사용되는 일부 실시예들에 있어서, 웨이퍼들간(wafer-to-wafer)의 균일도는 3%(1시그마) 미만이거나, 2% 미만이거나, 1% 미만이거나, 또는 0.5% 미만이다.
상기 성장 공정들은 클러스터 툴에 연결된 반응 공간 또는 반응기 내에서 선택적으로 수행될 수 있다. 클러스터 툴에서는 각 반응 공간이 한 종류의 공정에 전용되기 때문에 각 모듈에서의 반응 공간의 온도가 일정하게 유지될 수 있고, 각 런(run) 이전에 공정 온도까지 기판이 가열되는 반응기에 비하여 스루풋이 개선된다.
독립형(stand-alone) 반응기에 로드-락이 장착될 수 있다. 그러한 경우에 있어서, 각 런 사이에 반응 공간을 냉각시킬 필요가 없다.
바람직하게, Al 및 N을 함유하는 물질을 형성하기 위하여, 각 ALD 사이클은 적어도 두 개의 구별되는 시기(phase)를 포함한다. 상기 기판을 제 1 전구체와 접촉시키는 단계 및 그 이후에 과량의 제 1 전구체 및 반응 부산물들을 상기 기판 표면으로부터 제거하는 단계는 하나의 시기로 고려될 수 있으며, 제 1 시기, 제 1 전구체 시기, Al 시기, Al 전구체 시기, 제 1 Al 시기, 및/또는 제 1 Al 전구체 시기라고 지칭될 수 있다. 퇴적 사이클을 위하여 제 1 시기에서 상기 기판은 Al을 포함하는 제 1 전구체와 접촉된다. Al을 포함하는 상기 제 1 전구체는 상기 기판 표면 위에 대략 하나보다 많지 않은 모노레이어를 형성한다. 제 2 시기에서 상기 기판은 질소를 포함하는 제 2 전구체와 접촉되며, 흡착된 제 1 전구체를 Al 및 N 함유 물질로 전환할 수 있다. 상기 기판을 제 2 전구체와 접촉시키는 단계 및 그 이후에 과량의 제 2 전구체 및 반응 부산물들을 상기 기판 표면으로부터 제거하는 단계는 하나의 시기로 고려될 수 있으며, 제 2 시기, 제 2 전구체 시기, N 시기, N 전구체 시기, 제 1 N 시기, 및/또는 제 1 N 전구체 시기라고 지칭될 수 있다. 상기 전구체들 중 하나 이상은 N2, Ar, 또는 He과 같은 캐리어 가스의 도움으로 제공될 수 있다. 추가적인 시기들이 추가될 수 있으며, 최종 막의 조성을 조정하기 위하여 원하는 바에 따라 시기들을 생략할 수도 있다.
도 1을 참조하고 바람직한 실시예들에 따르면, 제 1 표면 및 그와 상이한 표면인 제 2 표면을 포함하는 기판의 제 1 표면 위에 Al 및 N을 함유하는 물질이 ALD 타입의 퇴적 공정(100)에 의하여 선택적으로 퇴적된다. 상기 ALD 타입의 퇴적 공정(100)은 다음 단계들을 포함하는 사이클을 적어도 하나 포함한다:
상기 기판을 Al을 포함하는 기상의 제 1 전구체와 접촉시키는 단계 (120);
과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들을 상기 기판으로부터 제거하는 단계 (130);
상기 기판을 질소를 포함하는 기상의 제 2 전구체와 접촉시키는 단계 (140);
과량의 제 2 전구체 및 임의의 기체 부산물들을 상기 기판으로부터 제거하는 단계 (150); 및
원하는 두께의 Al 및 N을 함유하는 물질이 형성될 때까지 상기 접촉시키는 단계들 및 제거하는 단계들을 선택적으로 반복하는 단계(160).
일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 상기 퇴적 공정(100)을 시작하기에 앞서 전처리 공정을 거칠 수 있다. 일부 실시예들에 있어서, 전처리 공정은 상기 선택적 퇴적 공정(100)의 선택도를 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정(100)을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 Al 및 N을 함유하는 물질의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정(100)을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 Al 및 N을 함유하는 물질의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 저해시킬 수 있다. 도 1에 있어서 이것은 단계 (110)으로 표시되며, 상기 기판은 Al 및 N 함유 물질의 퇴적에 앞서 전처리 반응물, 예컨대 플라스마에 노출될 수 있다.
일부 실시예들에 있어서, 전처리 공정은 상기 기판을 전처리 반응물에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 전처리 반응물은 산소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 전처리 반응물은 산소 라디칼들, 원자 산소, 산소 플라스마, 또는 이들의 조합들을 포함한다. 일부 실시예들에 있어서, 전처리 반응물은 질소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 전처리 반응물은 질소 라디칼들, 원자 질소, 질소 플라스마, 또는 이들의 조합들을 포함한다. 일부 실시예들에 있어서, 전처리 반응물은 수소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 전처리 반응물은 수소 라디칼들, 원자 수소, 수소 플라스마, 또는 이들의 조합들을 포함한다.
산소 플라스마를 포함하는 전처리 반응물에 기판이 노출되는 전처리 공정을 이용하는 일부 실시예들에 있어서, O2는 예컨대 약 1 sccm 내지 약 2000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 1000 sccm, 그리고 가장 바람직하게는 약 50 sccm 내지 약 500 sccm으로 제공될 수 있다. 일부 실시예들에 있어서, O2는 약 300 sccm으로 제공될 수 있다. 질소 플라스마를 포함하는 전처리 반응물에 기판이 노출되는 전처리 공정을 이용하는 일부 실시예들에 있어서, N2는 예컨대 약 1 sccm 내지 약 5000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 2000 sccm, 그리고 가장 바람직하게는 약 50 sccm 내지 약 500 sccm으로 제공될 수 있다. 일부 실시예들에 있어서, N2는 약 300 sccm으로 제공될 수 있다. 수소 플라스마를 포함하는 전처리 반응물에 기판이 노출되는 전처리 공정을 이용하는 일부 실시예들에 있어서, H2는 예컨대 약 1 sccm 내지 약 2000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 1000 sccm, 그리고 가장 바람직하게는 약 10 sccm 내지 약 100 sccm으로 제공될 수 있다. 일부 실시예들에 있어서, H2는 약 50 sccm으로 제공될 수 있다. 유사한 조건들이 다른 타입들의 플라스마에 대하여 사용될 수 있다.
일부 실시예들에 있어서, 전처리 공정은 전처리 온도에서 전처리 반응물에 상기 기판을 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 전처리 온도는 약 20ㅀC보다 더 높을 수 있다. 일부 실시예들에 있어서, 전처리 온도는 약 20ㅀC와 약 500ㅀC 사이일 수 있으며, 더욱 바람직하게는 약 50ㅀC와 약 450ㅀC 사이일 수 있으며, 더더욱 바람직하게는 약 150ㅀC와 약 400ㅀC 사이일 수 있다. 일부 실시예들에 있어서, 상기 전처리 온도는 상기 퇴적 온도와 대략 같은 온도일 수 있다. 일부 실시예들에 있어서, 상기 전처리 온도는 상기 퇴적 온도와 상이할 수 있다. 일부 실시예들에 있어서, 약 2500 와트 미만의 파워에서, 예컨대 약 1 내지 약 1000 와트, 또는 약 1 내지 약 500 W, 또는 약 1 내지 약 200W 또는 그 미만의 파워에서 플라스마가 생성될 수 있다. 일부 실시예들에 있어서, 플라스마는 50W의 파워에서 생성될 수 있다. 일부 실시예들에 있어서, 플라스마는 100W의 파워에서 생성될 수 있다.
일부 실시예들에 있어서, 상기 플라스마는 약 200초 미만의 시간 동안, 예컨대 약 180초 또는 그 미만, 또는 약 60초 또는 그 미만, 약 30초 또는 그 미만, 약 10초 또는 그 미만, 또는 약 3초 또는 그 미만의 시간 동안 제공된다.
일부 실시예들에 있어서, 플라스마는 반응기 내에서 생성된다. 일부 실시예들에 있어서, 상기 플라스마는 상기 기판의 상부 위에서 또는 상기 기판의 근처 가까이에서 인 시투로 형성될 수 있다. 다른 실시예들에 있어서, 상기 플라스마는 상기 반응 챔버의 업스트림의 원격 플라스마 생성기에서 형성되고, 플라스마 생성물은 상기 기판과 접촉하기 위하여 상기 반응 챔버로 이송된다. 원격 플라스마의 경우에 있어서 상기 기판으로의 경로는 상기 기판에 도달하기 전에 이온의 생존을 최소화하고 전기적으로 중성인 종들을 최대화하도록 최적화될 수 있음은 통상의 기술자에 의하여 인식될 수 있을 것이다.
일부 실시예들에 있어서, 처리된 상기 기판은 전처리 공정 이후에 그리고 선택적 퇴적 공정을 시작하기 이전에 주변 환경에 노출되지 않는다. 일부 실시예들에 있어서, 처리된 상기 기판은 전처리 공정 이후에 그리고 선택적 퇴적 공정을 시작하기 이전에 공기에 노출되지 않는다.
일부 실시예들에 있어서, 전처리 공정은 상기 순차적인 선택적 퇴적 공정의 선택도를 향상시키기 위하여 사용될 수 있다. 일부 실시예들에 있어서, 전처리 공정은 제 1 표면 위에서 Al 및 N을 함유하는 물질의 상이한 표면인 제 2 표면에 대한 상대적인 선택적 퇴적을 향상시킬 수 있다. 일부 실시예들에 있어서, 전처리 공정은 순차적인 선택적 퇴적 공정의 선택도를 약 2보다 더 큰 인수만큼, 또는 5보다 더 큰 인수만큼, 또는 약 10보다 더 큰 인수만큼 향상시킬 수 있다.
일부 실시예들에 있어서, 전처리 공정은 후속되는 퇴적 공정(100)과 동일한 반응 챔버 또는 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 전처리 공정은 후속되는 퇴적 공정(100)과 상이한 반응 챔버 또는 반응기 내에서 수행될 수 있다.
다시 도 1을 참조하면, 단계 (120)에서 상기 기판은 Al을 포함하는 제 1 전구체와 접촉된다. 일부 실시예들에 있어서, 상기 제 1 전구체는 기상의 펄스 형태로 반응 챔버 내부로 인도되고 상기 기판의 표면과 접촉된다. 상기 전구체의 대략 하나 이하의 모노레이어가 상기 기판 표면에 자기-제한적인 방식으로 흡착되도록 조건들이 바람직하게 선택된다. 그러나, 일부 실시예들에 있어서, 상기 전구체의 하나보다 많은 모노레이어가 형성될 수 있도록 조건들이 선택될 수 있다.
상기 제 1 전구체 펄스는 바람직하게 가스 형태로 공급된다. 노출된 표면들을 포화시키기에 충분한 농도로 종들(species)을 워크피스(workpiece)로 이동시키기 위하여 상기 공정 조건들 하에서 상기 종들이 충분한 증기압을 보인다면 상기 제 1 전구체 가스는 본 설명의 목적상 "휘발성"인 것으로 고려된다.
일부 실시예들에 있어서, 상기 제 1 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 상기 기판과 접촉한다.
만일 상기 제 1 전구체가 상기 반응 챔버 내부로 인도되어 상기 기판 표면과 접촉하기 전에 증기 상태에 있다면 상기 ALD 타입 공정들에 채용된 상기 제 1 전구체는 표준 조건들 (실온 및 대기압) 하에서 고체, 액체, 또는 기체인 물질일 수 있다.
단계 (130)에서 과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들은 예컨대 질소 또는 아르곤과 같은 불활성 가스의 펄스로 퍼지함으로써 상기 기판 표면으로부터 제거된다. 상기 반응 챔버를 퍼지하는 것은 예컨대 진공 펌프로 챔버를 비워냄으로써 및/또는 아르곤 또는 질소와 같은 불활성 기체로 반응기의 내부의 가스를 대체함으로써 기상의 전구체들 및/또는 기상의 부산물들을 상기 반응 챔버로부터 제거하는 것을 의미한다. 통상의 퍼지 시간은 약 0.05초 내지 약 20초이고, 더욱 바람직하게는 약 1초 내지 약 10초이고, 더더욱 바람직하게는 약 1초 내지 약 2초이다. 그러나, 극히 높은 종횡비를 갖는 구조물들 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들 위에 층들을 퇴적시키는 요구되는 것과 같이, 필요하다면 다른 퍼지 시간들도 사용될 수 있다. 적절한 퍼지 시간들은 특정 환경들에 근거하여 통상의 기술자가 용이하게 결정할 수 있다.
그러나 다른 실시예들에 있어서, 과량의 제 1 전구체 및, 만일 있다면, 반응 부산물들을 제거하는 단계는 상기 제 1 전구체가 상기 기판과 더 이상 접촉하지 않도록 상기 기판을 이동시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 어떠한 전구체도 챔버의 다양한 부분들로부터 제거되지 않을 수 있다. 일부 실시예들에 있어서, 상기 기판은 제 1 전구체를 포함하는 상기 챔버의 일 부분으로부터 제 2 전구체를 포함하거나 어떠한 전구체도 전혀 포함하지 않는 상기 챔버의 다른 부분으로 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
단계 (140)에서, 상기 기판은 N을 포함하는 기상의 제 2 전구체와 접촉된다. 일부 실시예들에 있어서, 상기 제 2 전구체는 상기 챔버 내부로 펄스 공급되고, 여기서 상기 제 2 전구체는 상기 기판의 제 1 표면에 결합된 상기 제 1 전구체와 반응한다. 상기 반응은 Al 및 N을 함유하는 물질의, 대략 하나까지의 모노레이어를 상기 기판 위에 형성한다. 그러나 일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 하나보다 많은 모노레이어가 상기 기판 위에 형성된다.
일부 실시예들에 있어서, 상기 제 2 전구체는 질소 플라스마 또는 질소 라디칼들을 포함할 수 있다. 그러한 실시예들에 있어서, 질소는 상기 반응 챔버 내에서 또는 상기 반응 챔버의 업스트림에서 에너지를 받을 수 있다(energized). 플라스마를 원하는 경우에, 상기 기판이 원하는 시간 동안 질소 플라스마에 노출된 후, 상기 플라스마 생성기가 턴오프 될 수 있으며 질소 전구체의 흐름 그 자체는 상기 반응 챔버로부터 과잉의 질소 플라스마 및 미반응 부산물들을 깨끗이 제거하기 위하여 사용되도록 에너지가 가해지지 않은 (un-energized) 제 2 전구체의 흐름은 일 형태의 퍼지 가스를 포함할 수 있다.
통상의 기술자는 임의의 수의 적합한 제 2 전구체들이 사용될 수 있음을 알 것이지만, 적절한 제 2 전구체들은 선행하여 퇴적된 또는 후속하여 퇴적되는 제 1 전구체의 리간드들과 선호적으로 반응하는 질소 함유 화합물들을 포함한다. 따라서, 적절한 제 2 전구체의 선택은 사용되는 특정 제 1 전구체 그리고 상기 제 1 전구체의 리간드들의 성질에 의존할 수 있다.
일부 실시예들에 있어서, 상기 제 2 전구체는 약 0.01초 내지 약 60초 동안, 약 0.02초 내지 약 30초 동안, 약 0.025초 내지 약 20초 동안, 약 0.05초 내지 약 5.0초 동안, 약 0.05초 내지 약 2.0초 동안, 또는 약 0.1초 내지 약 1.0초 동안 상기 기판과 접촉한다. 그러나, 반응기의 타입, 기판의 타입 및 상기 기판의 표면적에 따라 상기 제 2 전구체의 접촉 시간은 10초보다도 더 길 수 있다. 일부 실시예들에 있어서, 접촉 시간들은 수 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경들에 기반하여 통상의 기술자가 용이하게 결정할 수 있을 것이다.
상기 반응 챔버 내에서의 상기 제 2 전구체의 농도는 약 0.01 부피% 내지 약 99.0 부피%일 수 있다. 그리고 상기 제 2 전구체는 약 1 표준 cm3/분 내지 약 4000 표준 cm3/분의 유속으로 상기 반응 챔버를 통하여 흐를 수 있다.
단계 (150)에서, 과량의 제 2 전구체 및, 만일 있다면, 상기 표면 반응의 기상의 부산물들은 단계 (130)에 대하여 위에서 설명한 바와 같이 상기 기판으로부터 제거된다. 일부 실시예들에 있어서, 과량의 전구체 및 반응 부산물들은 불활성 기체의 도움으로 바람직하게 제거된다.
상기 접촉시키는 단계들 및 제거하는 단계들은, 각 사이클이 분자의 모노레이어를 대략 하나 이하로 남기면서 원하는 두께의 Al 및 N을 함유하는 물질이 상기 기판의 제 1 표면 위에 형성될 때까지 단계 (160)에서 선택적으로 반복될 수 있다. 일부 경우들에 있어서, 다양한 상기 전구체들 중 적어도 하나의 적어도 부분적인 분해를 달성하는 것이 바람직할 수 있다. 따라서, 일부 실시예들에 있어서, 각 퇴적 사이클에서 Al 및 N을 함유하는 물질의 하나보다 많은 분자층이 상기 기판 위에 형성되도록 조건들이 선택될 수 있다.
본 개시의 Al 및 N을 함유하는 물질의 ALD 공정들은 하나 이상의 사이클들을 포함할 수 있다. 일부 실시예들은 적어도 약 5 사이클들의, 적어도 약 10 사이클들의, 또는 적어도 약 50 사이클들의 반복을 수반한다. 일부 실시예들에 있어서, 바람직한 두께의 박막을 형성하기 위하여 100 이하의 사이클들이 수행된다.
일부 실시예들에 있어서, 상기 기판 표면 및/또는 Al 및 N을 함유하는 물질에 대하여 플라스마 처리 공정이 선택적으로 수행될 수 있다. 도 1에서, 이것은 단계 (170)으로 표시된다. 일부 실시예들에 있어서, 상기 플라스마 처리 공정은 하나보다 많은 퇴적 사이클이 수행된 이후에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 퇴적된 상기 Al 및 N을 함유하는 물질막이 연속적이거나 또는 폐쇄되기(closed) 전에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 대략 매 10회의 퇴적 사이클들마다의 이후에, 대략 매 20회의 퇴적 사이클들마다의 이후에, 또는 대략 매 50회의 퇴적 사이클들마다의 이후에 수행될 수 있다. 일부 실시예들에 있어서, 적어도 2회의 연속적인 퇴적 사이클들은 플라스마 처리 공정 없이 수행된다. 일부 실시예들에 있어서, 적어도 5회 또는 10회의 퇴적 사이클들은 플라스마 처리 공정 없이 수행된다. 일부 실시예들에 있어서, 플라스마 처리 공정은 임의의 퇴적이 수행되기 전에 수행될 수 있다. 즉, 임의의 퇴적 사이클 이전에 수행된다.
일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정(100)과 동일한 반응 챔버 또는 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정(100)과 상이한 반응 챔버 또는 반응기 내에서 수행될 수 있다.
일부 실시예들에 있어서, 플라스마는 반응기 내에서 형성된다. 일부 실시예들에 있어서, 상기 플라스마는 상기 기판의 상부 위에서 또는 상기 기판의 근처 가까이에서 인 시투로 형성될 수 있다. 다른 실시예들에 있어서, 상기 플라스마는 상기 반응 챔버의 업스트림의 원격 플라스마 생성기에서 형성되고, 플라스마 생성물들은 상기 기판과 접촉하기 위하여 상기 반응 챔버로 이송된다. 원격 플라스마의 경우에 있어서 상기 기판으로의 경로는 상기 기판에 도달하기 전에 이온의 생존을 최소화하고 전기적으로 중성인 종들을 최대화하도록 최적화될 수 있음은 통상의 기술자에 의하여 인식될 수 있을 것이다.
일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 물질은 복수의 퇴적 사이클들을 이용하여 퇴적될 수 있으며, 상기 플라스마 처리는 예컨대 퇴적에 앞서, 또는 매 퇴적 사이클 이후에, 또는 퇴적되는 동안 소정의 간격을 두고, 또는 Al 및 N을 함유하는 물질을 원하는 두께로 퇴적시킨 이후를 포함하여 1회 이상 적용될 수 있다.
일부 실시예들에 있어서, 플라스마 처리 공정은 상기 기판을 직접 플라스마에 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 기판을 원격 플라스마에 노출시키는 단계를 포함한다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 기판을 여기된 종들 또는 플라스마 방전 내에서 생성된 원자 종들에 노출시키는 단계를 포함하며, 그러나 이온들은, 있다고 하더라도, 상당한 양을 포함하지 않는다. 일부 실시예들에 있어서, 상기 플라스마는 산소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 플라스마는 질소를 포함할 수 있다. 비록 플라스마 처리 공정이라고 지칭되지만, 일부 실시예들에 있어서, 플라스마를 포함하지 않는 반응성 산소 종들, 예컨대 오존이 사용될 수도 있다. 일부 실시예들에 있어서, 상기 플라스마는 수소를 포함할 수 있다.
전처리 공정 또는 플라스마 처리 공정을 이용하는 일부 실시예들에 있어서, 상기 기판은 산소 플라스마를 포함하는 반응물에 노출된다. O2는 소스 가스로서, 예를 들면 약 1 sccm 내지 약 2000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 1000 sccm, 그리고 가장 바람직하게는 약 50 sccm 내지 약 500 sccm 제공될 수 있다. 일부 실시예들에 있어서, O2는 약 300 sccm으로 제공될 수 있다.
전처리 공정 또는 플라스마 처리 공정을 이용하는 일부 실시예들에 있어서, 상기 기판은 질소 플라스마를 포함하는 반응물에 노출된다. N2는 소스 가스로서, 예를 들면 약 1 sccm 내지 약 5000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 2000 sccm, 그리고 가장 바람직하게는 약 50 sccm 내지 약 500 sccm 제공될 수 있다. 일부 실시예들에 있어서, N2는 약 300 sccm으로 제공될 수 있다.
전처리 공정 또는 플라스마 처리 공정을 이용하는 일부 실시예들에 있어서, 상기 기판은 수소 플라스마를 포함하는 반응물에 노출된다. 일부 실시예들에 있어서, H2는 소스 가스로서, 예를 들면 약 1 sccm 내지 약 2000 sccm, 더욱 바람직하게는 약 5 sccm 내지 약 1000 sccm, 그리고 가장 바람직하게는 약 10 sccm 내지 약 100 sccm 제공될 수 있다. 일부 실시예들에 있어서, H2는 약 50 sccm으로 제공될 수 있다. 다른 타입의 플라스마에 대하여 유사한 조건들이 사용될 수 있다.
일부 실시예들에 있어서, 플라스마 처리 공정은 처리 온도에서 상기 기판을 반응물에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 처리 온도는 약 20ㅀC보다 더 높을 수 있다. 일부 실시예들에 있어서, 처리 온도는 약 20ㅀC 내지 약 500ㅀC 사이, 더욱 바람직하게는 약 50ㅀC 내지 약 450ㅀC 사이, 더더욱 바람직하게는 약 150ㅀC 내지 약 400ㅀC 사이일 수 있다. 일부 실시예들에 있어서, 상기 처리 온도는 상기 퇴적 온도 및/또는 상기 전처리 온도와 대략 동일할 수 있다. 일부 실시예들에 있어서, 상기 처리 온도는 상기 퇴적 온도 및/또는 상기 전처리 온도와 상이할 수 있다.
일부 실시예들에 있어서, 플라스마는 약 2500 와트 미만의 파워에서, 예컨대 약 1 내지 약 1000 와트, 또는 약 1 내지 약 500 W, 또는 약 1 내지 약 200W 또는 그 미만의 파워에서 생성될 수 있다. 일부 실시예들에 있어서, 플라스마는 50W의 파워에서 생성될 수 있다. 일부 실시예들에 있어서, 플라스마는 100W의 파워에서 생성될 수 있다.
일부 실시예들에 있어서, 상기 플라스마는 약 200초 미만의 시간 동안, 예컨대 약 180초 또는 그 미만, 또는 약 60초 또는 그 미만, 약 30초 또는 그 미만, 약 10초 또는 그 미만, 또는 약 3초 또는 그 미만의 시간 동안 제공된다.
일부 실시예들에 있어서, 플라스마 처리 공정(170)은 전처리 공정(110)과 실질적으로 동일할 수 있다.
예시된 Al 및 N을 함유하는 물질의 퇴적 사이클은 상기 기판의 표면을 Al을 포함하는 기상의 제 1 전구체와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판의 표면을 질소를 포함하는 기상의 제 2 전구체와 접촉시키는 단계로 시작한다. 상기 기판 표면을 Al을 포함하는 기상의 제 1 전구체와 접촉시키는 단계와 질소를 포함하는 기상의 제 2 전구체와 접촉시키는 단계가 상기 퇴적 사이클에서 서로 바뀔 수 있음은 통상의 기술자에 의하여 이해될 것이다.
일부 실시예들에 있어서, 상이한 반응물들이 상기 기판의 표면과 원하는 순서로 원하는 시간 동안 교대적으로 그리고 순차적으로 접촉하도록 상기 기판이 이동된다. 일부 실시예들에 있어서, 상기 제거하는 단계들(130 및 150)은 수행되지 않는다. 일부 실시예들에 있어서, 챔버의 다양한 부분들로부터 반응물이 제거되지 않을 수 있다. 일부 실시예들에 있어서, 상기 기판은 제 1 전구체를 포함하는 상기 챔버의 일 부분으로부터 제 2 반응물을 포함하는 상기 챔버의 다른 부분으로 이동된다. 일부 실시예들에 있어서, 상기 기판은 제 1 반응 챔버로부터 상이한 반응 챔버인 제 2 반응 챔버로 이동된다.
통상의 기술자는 선택된 전구체들의 성질들에 근거하여 최적의 반응물 증기화 온도들을 결정할 수 있다. 통상의 기술자는 선택된 전구체들의 성질들 및 퇴적된 Al 및 N을 함유하는 물질의 원하는 성질들에 기초한 일상적인 실험을 통하여 최적의 반응물 접촉 시간들을 결정할 수 있다.
상기 Al 및 N을 함유하는 물질들의 성장 속도는 반응 조건들에 의존하여 변화할 것이다. 뒤에서 설명되는 바와 같이, 초기의 실험들에서, 상기 성장 속도는 약 0.01 Å/사이클 내지 약 2.0 Å/사이클 사이에서 변화하였다. 일부 실시예들에 있어서, 상기 성장 속도는 약 0.01 Å/사이클 내지 약 3.0 Å/사이클일 수 있고, 바람직하게는 약 0.1 Å/사이클 내지 약 2.5 Å/사이클일 수 있고, 더욱 바람직하게는 약 0.3 Å/사이클 내지 약 2.0 Å/사이클일 수 있다.
일부 실시예들에 있어서, 퇴적된 상기 Al 및 N을 함유하는 물질은 박막을 포함한다. 일부 실시예들에 있어서, 퇴적된 상기 Al 및 N을 함유하는 물질은 AlN을 포함하고, 일부 실시예들에 있어서, 퇴적된 상기 Al 및 N을 함유하는 물질은 AlN이다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질들은 Al 및 N을 필수적으로 포함하여 구성되도록(consist essentially of) 형성된다. 일부 실시예들에 있어서, 예를 들면 알루미늄 산질화물들을 형성하기 위한 산소와 같이 상기 막 내에 다른 물질들을 포함시키거나 다른 물질들이 기여하도록 하기 위하여 추가적인 반응물들이 사용될 수 있다. 질소 외에 금속이 아닌 추가적인 원소들이 필요한 일부 실시예들에 있어서, Al 및 N을 함유하는 물질을 형성하기 위한 ALD 공정은 초기의 Al 및 N 시기들 외에 추가적인 시기들(phases)을 포함할 수 있다. 예를 들면, 이들은 금속 알루미늄 산질화물들이 필요한 경우에 산화 시기를 포함할 수 있다. 산화 시기에서, 산소 또는 산소-함유 전구체가 반응 챔버 내에 제공되고 상기 기판 표면과 접촉하도록 허용된다. 상기 산소 시기는 하나 이상의 퇴적 사이클들의 일부일 수 있다. 일부 실시예들에 있어서, 제 2 금속 시기가 하나 이상의 퇴적 사이클들에 제공될 수 있다. 상기 산화 시기, 또는 다른 바람직한 시기가 상기 Al 시기 또는 상기 N 시기를 뒤따를 수 있지만, 그러나 어느 상황에서든, 일부 실시예들에 있어서 다음 시기를 진행하기 전에 과량의 산소 (또는 다른 반응물) 및 임의의 반응 부산물들을 상기 반응 공간으로부터 제거하는 것이 바람직하다. 일부 실시예들에 있어서, 산소와 같은 추가적인 시기 또는 추가적인 금속 시기가 최종의 퇴적 사이클 이후에 또는 상기 퇴적 공정에서 간헐적으로 제공될 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면 위로 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면에 대하여 상대적으로 퇴적되는 것은 적어도 약 90%로 선택적이거나, 적어도 약 95%로 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99% 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 퇴적은 상기 제 1 표면 위에서만 일어나고 상기 제 2 표면 위에서는 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면에 대한 상기 기판의 제 1 표면 상에서의 상대적인 퇴적은 적어도 약 80%로 선택적이며, 이는 일부 특정한 응용들에 대하여 충분히 선택적일 수 있다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면에 대한 상기 기판의 제 1 표면 상에서의 상대적인 퇴적은 적어도 약 50%로 선택적이며, 이는 일부 특정한 응용들에 대하여 충분히 선택적일 수 있다.
일부 실시예들에 있어서, 기판의 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된 Al 및 N을 함유하는 물질에 대하여 갖는 비율은 약 10:1보다 더 크거나 같을 수 있고, 약 20:1보다 더 크거나 같을 수 있고, 또는 약 40:1보다 더 크거나 같을 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질의 두께가 약 5 nm보다 더 클 때, 기판의 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된 Al 및 N을 함유하는 물질에 대하여 갖는 비율은 약 10:1보다 더 크거나 같을 수 있고, 약 20:1보다 더 크거나 같을 수 있고, 또는 약 40:1보다 더 크거나 같을 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질의 두께가 약 2.5 nm보다 더 클 때, 기판의 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된 Al 및 N을 함유하는 물질에 대하여 갖는 비율은 약 10:1보다 더 크거나 같을 수 있고, 약 20:1보다 더 크거나 같을 수 있고, 또는 약 40:1보다 더 크거나 같을 수 있다. 일부 실시예들에 있어서, 상기 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질의 두께가 약 1 nm보다 더 클 때, 기판의 제 1 표면 위에 퇴적된 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된 Al 및 N을 함유하는 물질에 대하여 갖는 비율은 약 10:1보다 더 크거나 같을 수 있고, 약 20:1보다 더 크거나 같을 수 있고, 또는 약 40:1보다 더 크거나 같을 수 있다.
일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 퇴적 공정이 약 0 내지 약 25 퇴적 사이클들을, 약 0 내지 약 50 퇴적 사이클들을, 약 0 내지 약 100 퇴적 사이클들을, 또는 약 0 내지 약 150 퇴적 사이클들을 포함할 때 기판의 제 1 표면 위에 퇴적된 상기 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된 Al 및 N을 함유하는 물질에 대하여 갖는 비율은 약 10:1보다 더 크거나 같을 수 있고, 약 20:1보다 더 크거나 같을 수 있고, 또는 약 40:1보다 더 크거나 같을 수 있다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 퇴적 공정이 약 0 내지 약 25 퇴적 사이클들을, 약 0 내지 약 50 퇴적 사이클들을, 약 0 내지 약 100 퇴적 사이클들을, 또는 약 0 내지 약 150 퇴적 사이클들을 포함할 때 약 0.1 nm 미만의 Al 및 N을 함유하는 물질이 상기 기판의 제 2 표면 위에 퇴적된다.
일부 실시예들에 있어서, Al 및 N을 함유하는 물질은 SiO2에 대하여 상대적인 식각 선택도를 갖는다. 즉, Al 및 N을 함유하는 물질은 예를 들면 묽은 HF 내에서 SiO2의 식각 속도보다 낮은 식각 속도를 갖는다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질은 희석된 HF(0.5%)에 대하여 분당 약 2 nm 내지 3 nm의 열 산화물 제거 속도의 5분의 1 미만의 습식 식각 속도(wet etch rate, WER)를 갖는다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 물질의 습식 식각 속도는 열산화된 실리콘(SiO2, TOX)의 습식 식각 속도에 대하여 0.5% 희석된 HF(dHF) 내에서 약 0.2 미만이다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 물질의 습식 식각 속도는 TOX의 습식 식각 속도에 대하여 0.5% dHF 내에서 약 0.1 미만이다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 물질의 습식 식각 속도는 TOX의 습식 식각 속도에 대하여 0.5% dHF 내에서 약 0.05 미만이다.
이제 도 2를 참조하면, 일부 실시예들에 있어서, 제 1 표면 및 유전체인 제 2 표면을 포함하는 기판이 제공되고 AlN가 사이클릭 퇴적 공정(200)에 의하여 상기 기판의 제 1 표면 위에 퇴적된다. 상기 사이클릭 퇴적 공정(200)은 다음 단계들을 포함하는 사이클을 적어도 하나 포함한다:
상기 기판을 기상의 트리메틸알루미늄(trimethylaluminum, TMA)과 접촉시키는 단계 (220);
과량의 TMA 및, 만일 있다면, 반응 부산물들을 상기 표면으로부터 제거하는 단계 (230);
상기 기판을 기상의 NH3와 접촉시키는 단계 (240);
과량의 NH3 및 임의의 부산물들을 상기 표면으로부터 제거하는 단계 (250); 및
원하는 두께의 AlN 박막이 형성될 때까지 상기 접촉시키는 단계들 및 제거하는 단계들을 선택적으로 반복하는 단계 (260).
예시된 AlN의 퇴적 사이클은 상기 기판을 TMA와 접촉시키는 단계로 시작하지만, 다른 실시예들에 있어서 상기 퇴적 사이클은 상기 기판을 NH3와 접촉시키는 단계로 시작한다. 상기 기판 표면을 TMA와 접촉시키는 단계와 NH3와 접촉시키는 단계가 상기 퇴적 사이클에서 서로 바뀔 수 있음은 통상의 기술자에 의하여 이해될 것이다.
일부 실시예들에 있어서, 상기 기판의 하나 이상의 표면들은 상기 퇴적 공정을 시작하기에 앞서 전처리 공정을 거칠 수 있다. 일부 실시예들에 있어서, 전처리 공정은 상기 선택적 퇴적 공정(200)의 선택도를 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 AlN의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 AlN의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 저해시킬 수 있다. 도 2에 있어서 이것은 단계 (210)으로 표시되며, 상기 기판은 Al 및 N 함유 물질의 퇴적에 앞서 전처리 반응물, 예컨대 플라스마에 노출될 수 있다.
일부 실시예들에 있어서, 상기 기판 표면 및/또는 AlN 박막에 대하여 플라스마 처리 공정이 선택적으로 수행될 수 있다. 도 2에서, 이것은 단계 (270)으로 표시된다. 일부 실시예들에 있어서, 본 플라스마 처리 공정은 도 1과 관련하여 앞서 설명된 플라스마 처리 공정(170)과 실질적으로 동일할 수 있다. 일부 실시예들에 있어서, 상기 플라스마 처리 공정(270)은 상기 전처리 단계(210)와 실질적으로 동일할 수 있다. 일부 실시예들에 있어서, 상기 플라스마 처리 공정은 하나보다 많은 퇴적 사이클이 수행된 이후에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 퇴적된 상기 AlN 막이 연속적이거나 또는 폐쇄되기(closed) 전에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 대략 10회보다 많은 퇴적 사이클들 이후에, 대략 20회보다 많은 퇴적 사이클들 이후에, 또는 대략 50회보다 많은 퇴적 사이클들 이후에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정(200)과 동일한 반응 챔버 또는 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정(200)과 상이한 반응 챔버 또는 반응기 내에서 수행될 수 있다.
일부 실시예들에 있어서, 제 1 표면 및 유전체인 제 2 표면을 포함하는 기판이 제공되고, Al 및 N을 함유하는 물질이 사이클릭 퇴적 공정에 의하여 상기 기판의 제 1 표면 위에 선택적으로 퇴적된다. 상기 사이클릭 퇴적 공정은 상기 기판을 기상의 제 1 전구체 및 기상의 제 2 전구체와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함하는 사이클을 적어도 하나 포함한다. 일부 실시예들에 있어서, 상기 제 1 전구체는 Al을 포함할 수 있고 상기 제 2 전구체는 N을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 전구체는 트리-tert-부틸알루미늄을 포함할 수 있고, 상기 제 2 전구체는 NH3를 포함할 수 있다.
일부 실시예들에 있어서, 제 1 표면 및 유전체인 제 2 표면을 포함하는 기판이 제공되고, AlN가 사이클릭 퇴적 공정에 의하여 상기 기판의 제 1 표면 위에 선택적으로 퇴적된다. 상기 사이클릭 퇴적 공정은 상기 기판을 기상의 제 1 전구체 및 기상의 제 2 전구체와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함하는 사이클을 적어도 하나 포함한다. 일부 실시예들에 있어서, 상기 제 1 전구체는 Al을 포함할 수 있고 상기 제 2 전구체는 N을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제 1 전구체는 트리-tert-부틸알루미늄을 포함할 수 있고, 상기 제 2 전구체는 NH3를 포함할 수 있다.
일부 실시예들에 있어서, 기판이 제공되고, AlN가 사이클릭 퇴적 공정에 의하여 상기 기판의 적어도 일부 위에 퇴적된다. 상기 사이클릭 퇴적 공정은 상기 기판을 기상의 제 1 전구체 및 기상의 제 2 전구체와 교대적으로 그리고 순차적으로 접촉시키는 단계를 포함하는 사이클을 적어도 하나 포함하고, 상기 제 1 전구체는 트리-tert-부틸알루미늄을 포함할 수 있고, 상기 제 2 전구체는 NH3를 포함할 수 있다.
일부 실시예들에 있어서, 퇴적에 앞서 상기 기판은 전처리 공정을 거칠 수 있다. 일부 실시예들에 있어서, 전처리 공정은 상기 선택적 퇴적 공정의 선택도를 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 AlN의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 향상시킬 수 있다. 일부 실시예들에 있어서, 상기 퇴적 공정을 시작하기에 앞선 전처리 공정은 하나의 표면 위에서 AlN의 하나 이상의 다른 표면들에 대한 상대적인 퇴적을 저해시킬 수 있다. 일부 실시예들에 있어서, 전처리 공정은 AlN의 퇴적에 앞서 상기 기판을 전처리 반응물, 예컨대 플라스마에 노출시키는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 기판 표면 및/또는 AlN 박막에 대하여 플라스마 처리 공정이 선택적으로 수행될 수 있다. 일부 실시예들에 있어서, 본 플라스마 처리 공정은 도 1 및 도 2와 관련하여 위에서 설명된 플라스마 처리 공정들(170 및 270)과 실질적으로 동일할 수 있다. 일부 실시예들에 있어서, 상기 플라스마 처리 공정은 상기 전처리 공정과 실질적으로 동일할 수 있다. 일부 실시예들에 있어서, 상기 플라스마 처리 공정은 하나보다 많은 퇴적 사이클이 수행된 이후에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 퇴적된 상기 AlN 막이 연속적이거나 또는 폐쇄되기(closed) 전에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 대략 10회보다 적은 퇴적 사이클들 이후에, 대략 20회보다 적은 퇴적 사이클들 이후에, 또는 대략 50회보다 적은 퇴적 사이클들 이후에 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정과 동일한 반응 챔버 또는 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 플라스마 처리 공정은 상기 퇴적 공정과 상이한 반응 챔버 또는 반응기 내에서 수행될 수 있다.
제 1 전구체들
여기에 설명된 선택적 퇴적 공정에서 수많은 상이한 제 1 전구체들이 사용될 수 있다. 일부 실시예들에 있어서, 상기 제 1 전구체는 알루미늄을 포함하는 유기 금속 화합물이다. 일부 실시예들에 있어서, 상기 제 1 전구체는 알킬 알루미늄 화합물이다. 일부 실시예들에 있어서, 상기 제 1 전구체는 알루미늄 이외의 어떤 다른 금속도 포함하지 않는다.
일부 실시예들에 있어서, 상기 제 1 전구체는 R3Al의 화학식을 갖는 화합물이고, 여기서 R은 알킬기이다. R은 각각 독립적으로 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, 및 tert-부틸기의 리스트로부터 선택될 수 있다. 바람직하게 R은 각각 독립적으로 메틸, 에틸, 및 tert-부틸기로부터 선택될 수 있다. 일부 실시예들에 있어서, R은 각각 독립적으로 C1 내지 C4 알킬기들에서 선택될 수 있다.
일부 실시예들에 있어서, 상기 제 1 전구체는 Me3Al, Et3Al, 또는 tBu3Al을 포함한다. 일부 실시예들에 있어서, 상기 제 1 전구체는 트리-tert-부틸알루미늄(tri-tert-butylaluminum, TTBA)이다. 위에서 언급한 바와 같이, 일부 실시예들에 있어서, 상기 제 1 전구체는 트리메틸알루미늄(trimethylaluminum, TMA)이다.
일부 실시예들에 있어서, 상기 제 1 전구체는 할로겐화물이 아니다. 일부 실시예들에 있어서, 상기 제 1 전구체는 적어도 하나의 리간드 내에 할로겐을 포함할 수 있지만 모든 리간드들 내에 포함하지는 않는다. 일부 실시예들에 있어서, 상기 제 1 전구체는 하나의 염소 리간드 및 두 개의 알킬 리간드들을 포함한다. 일부 실시예들에 있어서, 상기 제 1 전구체는 AlCl3이다.
일부 실시예들에 있어서, 상기 제 1 전구체는 적어도 하나의 리간드로서 수소를 포함할 수 있지만 모든 리간드로서 수소를 포함하지는 않는다. 일부 실시예들에 있어서, 상기 제 1 전구체는 적어도 하나의 수소 리간드 및 적어도 하나의 알킬 리간드를 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 1 전구체는 질소를 포함하지 않는다. 일부 실시예들에 있어서, 상기 제 1 전구체는 실리콘을 포함하지 않는다. 일부 실시예들에 있어서, 상기 제 1 전구체는 산소를 포함하지 않는다. 일부 실시예들에 있어서, 상기 제 1 전구체는 질소, 실리콘, 또는 산소를 포함하지 않는다.
제 2 전구체들
일부 실시예들에 있어서, 상기 제 2 전구체는 질소-수소 결합을 포함한다. 일부 실시예들에 있어서, 상기 제 2 전구체는 암모니아(NH3)이다. 일부 실시예들에 있어서, 상기 제 2 전구체는 분자 질소이다. 일부 실시예들에 있어서, 상기 제 2 전구체는 질소 함유 플라스마이다. 일부 실시예들에 있어서, 상기 제 2 전구체는 질소 및 수소를 함유하는 플라스마와 같은 질소 함유 플라스마이다. 일부 실시예들에 있어서, 상기 제 2 전구체는 활성화되거나 여기된 질소 종들을 포함한다. 일부 실시예들에 있어서, 상기 제 2 전구체는 질소-함유 가스 펄스 내에 제공될 수 있으며, 상기 질소-함유 가스 펄스는 질소 반응물과 아르곤과 같은 불활성 가스의 혼합물일 수 있다.
종합
본 개시 내용의 Al 및 N을 함유하는 물질은 다양한 반도체 응용 분야에서 사용될 수 있다. 예를 들면, Al 및 N을 함유하는 물질은 자기-정렬된 콘택 형성 공정에서 식각 정지막으로서 예를 들면, 콘택 식각 정지막으로서 특히 유용할 수 있다. 자기-정렬된 소스/드레인 콘택들은 콘택 리소그래피에 있어서 오정렬 마진을 제공하기 위하여 사용될 수 있다. 그러나 표준적인 자기-정렬된 콘택 공정은 다중의 금속 리세스 단계, SiN 충전 단계, 및 화학적-기계적 평탄화를 이용하는 SiN 연마 단계와 같은 추가적인 처리 단계들을 요구한다.
또한 표준적인 자기-정렬된 콘택 공정 내에서의 SiN 측벽 스페이서 및 식각 정지막의 축소는 앞으로 계속 증가하는 소자의 소형화로 인해 필요한데, 이러한 필요는 스페이서 또는 식각 정지막의 과식각에 기인한 콘택과 금속 게이트 사이의 단락의 위험을 생성할 수 있다.
일부 실시예들에 있어서, 본 개시 내용의 Al 및 N을 함유하는 물질은 금속 리세스를 포함하지 않는 자기-정렬된 콘택 공정에서 식각 정지막으로서 사용될 수 있다. 일부 실시예들에 있어서, 본 개시 내용의 Al 및 N을 함유하는 물질은 식각 내성이 있다. 도 3은 일부 실시예들에 따른 Al 및 N을 함유하는 물질 보호층, 캡핑층, 또는 식각 정지막을 포함하는 자기-정렬된 콘택 공정에 대한 공정 흐름을 나타낸다. 일부 실시예들에 있어서, 자기-정렬된 콘택을 형성하기 위한 공정(300)은 다음과 같이 진행된다:
단계 (301)에서 제 1 표면 및 소스/드레인 영역 위에 가로 놓이는 상이한 표면인 제 2 표면을 포함하는 반도체 기판이 제공되고;
단계 (302)에서 Al 및 N을 함유하는 보호층 또는 식각 정지막, 예컨대 AlN가 상기 기판의 제 1 표면 위에 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적되고;
단계 (303)에서 상기 제 2 표면이, 예를 들면 습식 식각 공정을 이용하여 제거되고; 및
단계 (304)에서 상기 기판의 소스/드레인 영역 위의 제거된 상기 제 2 표면의 자리에 콘택이 형성된다.
일부 실시예들에 따르면, 단계 (301)에서 반도체를 포함하는 기판이 제공된다. 상기 반도체 기판은 제 1 표면 및 상이한 표면인 제 2 표면을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 표면을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 하나 이상의 금속 질화물들을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 게이트의 표면 및/또는 스페이서의 표면을 포함할 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 제 1 표면은 TiN 게이트 및 SiN 스페이서를 포함할 수 있다. 바람직하게는, 상기 제 2 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 유전체는 SiO2를 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 소스/드레인 영역에 가로 놓인 더미 콘택이다. 일부 실시예들에 있어서, 상기 SiO2 더미 콘택은 소스/드레인 영역의 직접 위에 놓인다.
일부 실시예들에 있어서, 상기 반도체 기판에 대하여 게이트 연마를 통한 표준적 공정이 수행된다. 즉, 당 기술 분야에서 잘 알려진 바에 따라 소스, 게이트, 및 드레인을 형성하기 위하여 반도체 기판에 대하여 표준적인 대체 금속 게이트 (replaced metal gate) 공정 흐름이 수행될 수 있다. 일부 실시예들에 있어서, 반도체 기판에 대하여 화학적-기계적 평탄화 공정이 수행될 수 있다.
단계 (302)에서 Al 및 N을 함유하는 보호층 또는 식각 정지막은 상기 기판의 제 1 표면 위에 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호 물질이 TiN 게이트 및 SiN 스페이서 위에 형성된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호 물질이 TiN 게이트 및 SiN 스페이서의 직접 위에 형성된다.
일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 여기에 설명된 바에 따른 ALD 공정에 의하여 퇴적된다. 일부 실시예들에 있어서, 상기 기판은 Al을 포함하는 제 1 전구체 및 N을 포함하는 제 2 전구체와 교대적으로 그리고 순차적으로 접촉된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 AlN을 포함한다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 AlN 박막을 포함한다.
일부 실시예들에 있어서, 기판의 제 1 표면 위로 상기 Al 및 N을 함유하는 보호층이 상기 기판의 제 2 표면에 대하여 상대적으로 퇴적되는 것은 적어도 약 90%로 선택적이거나, 적어도 약 95%로 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99% 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 퇴적은 상기 제 1 표면 위에서만 일어나고 상기 제 2 표면 위에서는 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면에 대한 상기 기판의 제 1 표면 상에서의 상대적인 퇴적은 적어도 약 80%로 선택적이거나, 또는 적어도 약 50%로 선택적이다.
일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층 또는 식각 정지막은 특정 두께를 이루도록 상기 기판의 제 1 표면 위에 퇴적된다. 적절한 두께들은 약 0.1 nm보다 크거나 같을 수 있고 약 10 nm보다 작거나 같을 수 있다. 일부 실시예들에 있어서, 상기 두께는 약 0.1 nm 내지 약 5 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 1 nm 내지 약 5 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 1 nm 내지 약 3 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 2 nm 내지 약 3 nm 사이일 것이다. 적절한 두께들은 약 0.1 nm보다 크거나 같을 수 있고 약 10 nm보다 작거나 같을 수 있다. 일부 실시예들에 있어서, 상기 적절한 두께는 상기 기판 표면 위에 완전한 층을 달성하는 것(즉, 갭을 남기지 않는 것)일 것이다. 따라서, 완전한 층을 달성하는 실제적인 두께는 상기 Al 및 N을 함유하는 물질을 이루기 위하여 사용되는 전구체들의 타입에 의존할 수 있다.
단계 (303)에서 상기 기판의 제 2 표면은, 예를 들면 습식 식각 공정을 이용함으로써 제거된다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면은 dHF로 식각함으로써 제거된다. 예를 들면, 일부 실시예들에 있어서, 상기 기판의 제 1 표면 및 제 2 표면은 dHF에 노출되고 상기 Al 및 N을 함유하는 보호층이 그 하부에 놓인 게이트와 스페이서를 식각으로부터 보호하는 동안 상기 기판의 제 2 표면은 제거된다. 상기 Al 및 N을 함유하는 보호층은 여기에 설명된 바와 같은 유전체인 상기 제 2 표면에 비하여 더 낮은 습식 식각 속도를 갖기 때문에 식각 정지막으로서 작용할 수 있다.
계속하여 도 3을 참조하면, 단계 (304)에서 이제는 제거된 상기 제 2 표면을 대신하여 상기 소스/드레인 영역 위에 콘택이 형성될 수 있다. 일부 실시예들에 있어서, 콘택은 상기 소스/드레인 영역의 직접 위에 형성될 수 있다. 일부 실시예들에 있어서, 콘택은 티타늄 함유 물질, 예를 들면, Ti 또는 TiN 또는 실리사이드 물질을 포함한다. 일부 실시예들에 따르면 콘택은 당 기술 분야에 알려진 임의의 방법에 따라 또는 미래에 개발될 방법에 따라 형성될 수 있다. 예를 들면 Ti 콘택은 물리 기상 증착(physical vapor deposition, PVD)에 의하여 형성될 수 있고, TiN 콘택은 원자층 증착(atomic layer deposition, ALD)에 의하여 형성될 수 있다.
일부 실시예들에 있어서, 상기 콘택 또는 콘택들을 형성한 후, 상기 기판에 대하여 추가적인 공정 또는 처리 단계들이 선택적으로 수행될 수 있다.
일부 실시예들에 있어서, 본 개시 내용의 Al 및 N을 함유하는 물질은 금속 리세스를 포함하지 않는 자기-정렬된 콘택 공정에서 식각 정지막으로서 사용될 수 있다. 도 4는 일부 실시예들에 따른 Al 및 N을 함유하는 물질 보호층 또는 식각 정지막을 포함하는 자기-정렬된 콘택 공정에 대한 공정 흐름을 나타낸다. 일부 실시예들에 있어서, 자기-정렬된 콘택을 형성하기 위한 공정(400)은 다음과 같이 진행된다:
단계 (401)에서 제 1 표면 및 소스/드레인 영역 위에 가로 놓이는 상이한 표면인 제 2 표면을 포함하는 반도체 기판이 제공되고;
단계 (402)에서 상기 제 1 표면의 일부가 제거되어 그 안에 리세스를 생성하고;
단계 (403)에서 Al 및 N을 함유하는 보호층 또는 식각 정지막, 예컨대 AlN가 상기 기판의 제 1 표면 위에 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적되고;
단계 (404)에서 상기 제 2 표면이, 예를 들면 습식 식각 공정을 이용하여 제거되고; 및 상기 기판의 소스/드레인 영역 위의 제거된 상기 제 2 표면의 자리에 콘택이 형성된다.
일부 실시예들에 따르면, 단계 (401)에서 반도체를 포함하는 기판이 제공된다. 상기 반도체 기판은 제 1 표면 및 상이한 표면인 제 2 표면을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 표면을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 하나 이상의 금속 질화물들을 포함한다. 일부 실시예들에 있어서, 상기 제 1 표면은 도전성 게이트의 표면 및/또는 스페이서의 표면을 포함할 수 있다. 예를 들면, 일부 실시예들에 있어서, 상기 제 1 표면은 TiN 게이트 및 SiN 스페이서를 포함할 수 있다. 바람직하게는, 상기 제 2 표면은 유전체 표면이다. 일부 실시예들에 있어서, 상기 유전체는 SiO2를 포함한다. 일부 실시예들에 있어서, 상기 제 2 표면은 소스/드레인 영역에 가로 놓인 더미 콘택이다. 일부 실시예들에 있어서, 상기 SiO2 더미 콘택은 소스/드레인 영역의 직접 위에 놓인다.
일부 실시예들에 있어서, 상기 반도체 기판에 대하여 게이트 연마를 통한 표준적 공정이 수행된다. 즉, 당 기술 분야에서 잘 알려진 바에 따라 소스, 게이트, 및 드레인을 형성하기 위하여 반도체 기판에 대하여 표준적인 대체 금속 게이트 공정 흐름이 수행될 수 있다. 일부 실시예들에 있어서, 반도체 기판에 대하여 화학적-기계적 평탄화 공정이 수행될 수 있다.
일부 실시예들에 따르면, 단계 (402)에서, 상기 제 1 표면의 일부가 제거되어 그 안에 리세스를 생성한다. 일부 실시예들에 있어서, 제거되는 상기 제 1 표면의 일부는 금속 질화물이다. 일부 실시예들에 있어서, 제거되는 상기 제 1 표면의 일부는 SiN이다. 일부 실시예들에 있어서, 제거되는 상기 제 1 표면의 일부는 스페이서, 예를 들면 SiN 스페이서를 포함할 수 있다. 일부 실시예들에 있어서, 약 0.1 nm 내지 약 30 nm의 상기 제 1 표면의 일부가 제거되어 약 0.1 nm 내지 약 30 nm의 깊이를 갖는 리세스가 생성된다. 일부 실시예들에 있어서, 약 0.1 nm 내지 약 20 nm의 상기 제 1 표면의 일부가 제거되어 약 0.1 nm 내지 약 20 nm의 깊이를 갖는 리세스가 생성된다. 일부 실시예들에 있어서, 약 1 nm 내지 약 10 nm의 상기 제 1 표면의 일부가 제거되어 약 1 nm 내지 약 10 nm의 깊이를 갖는 리세스가 생성된다.
단계 (403)에서 Al 및 N을 함유하는 보호층 또는 식각 정지막은 상기 기판의 제 1 표면 위에 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호 물질이 TiN 게이트 및 SiN 스페이서 위에 형성된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호 물질이 TiN 게이트 및 SiN 스페이서의 직접 위에 형성된다.
일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 여기에 설명된 바에 따른 ALD 공정에 의하여 퇴적된다. 일부 실시예들에 있어서, 상기 기판은 Al을 포함하는 제 1 전구체 및 N을 포함하는 제 2 전구체와 교대적으로 그리고 순차적으로 접촉된다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 AlN을 포함한다. 일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층은 AlN 박막을 포함한다.
일부 실시예들에 있어서, 기판의 제 1 표면 위로 상기 Al 및 N을 함유하는 보호층이 상기 기판의 제 2 표면에 대하여 상대적으로 퇴적되는 것은 적어도 약 90%로 선택적이거나, 적어도 약 95%로 선택적이거나, 적어도 약 96%, 97%, 98%, 또는 99% 또는 이보다 더 크게 선택적이다. 일부 실시예들에 있어서, Al 및 N을 함유하는 물질의 퇴적은 상기 제 1 표면 위에서만 일어나고 상기 제 2 표면 위에서는 일어나지 않는다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면에 대한 상기 기판의 제 1 표면 상에서의 상대적인 퇴적은 적어도 약 80%로 선택적이거나, 또는 적어도 약 50%로 선택적이다.
일부 실시예들에 있어서, 상기 Al 및 N을 함유하는 보호층 또는 식각 정지막은 특정 두께를 이루도록 상기 기판의 제 1 표면 위에 퇴적된다. 적절한 두께들은 약 0.1 nm보다 크거나 같을 수 있고 약 10 nm보다 작거나 같을 수 있다. 일부 실시예들에 있어서, 상기 두께는 약 0.1 nm 내지 약 5 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 1 nm 내지 약 5 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 1 nm 내지 약 3 nm 사이일 것이다. 일부 실시예들에 있어서, 상기 두께는 약 2 nm 내지 약 3 nm 사이일 것이다. 적절한 두께들은 약 0.1 nm보다 크거나 같을 수 있고 약 10 nm보다 작거나 같을 수 있다. 일부 실시예들에 있어서, 상기 적절한 두께는 상기 기판 표면 위에 완전한 층을 달성하는 것(즉, 갭을 남기지 않는 것)일 것이다. 따라서, 완전한 층을 달성하는 실제적인 두께는 상기 Al 및 N을 함유하는 물질을 이루기 위하여 사용되는 전구체들의 타입에 의존할 수 있다.
단계 (404)에서 상기 기판의 제 2 표면은, 예를 들면 습식 식각 공정을 이용함으로써 제거된다. 일부 실시예들에 있어서, 상기 기판의 제 2 표면은 dHF로 식각함으로써 제거된다. 예를 들면, 일부 실시예들에 있어서, 상기 기판의 제 1 표면 및 제 2 표면은 dHF에 노출되고 상기 Al 및 N을 함유하는 보호층이 그 하부에 놓인 게이트와 스페이서를 식각으로부터 보호하는 동안 상기 기판의 제 2 표면은 제거된다. 상기 Al 및 N을 함유하는 보호층은 여기에 설명된 바와 같은 유전체인 상기 제 2 표면에 비하여 더 낮은 습식 식각 속도를 갖기 때문에 식각 정지막으로서 작용할 수 있다. 그런 다음, 이제는 제거된 상기 제 2 표면을 대신하여 상기 소스/드레인 영역 위에 콘택이 형성된다. 일부 실시예들에 있어서, 콘택은 상기 소스/드레인 영역의 직접 위에 형성될 수 있다. 일부 실시예들에 있어서, 콘택은 티타늄 함유 물질, 예를 들면, Ti 또는 TiN을 포함하거나 또는 실리사이드 물질을 포함한다. 일부 실시예들에 따르면 콘택은 당 기술 분야에 알려진 임의의 방법에 따라 또는 미래에 개발될 방법에 따라 형성될 수 있다. 예를 들면 Ti 콘택은 물리 기상 증착(PVD)에 의하여 형성될 수 있고, TiN 콘택은 원자층 증착(ALD)에 의하여 형성될 수 있다.
일부 실시예들에 있어서, 상기 콘택 또는 콘택들을 형성한 후, 상기 기판에 대하여 추가적인 공정 또는 처리 단계들이 선택적으로 수행될 수 있다.
예 1
본 예에서 기판의 제 1 표면 위에 AlN이 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적되었다. 본 예에서 상기 기판의 제 1 표면은 ALD에 의하여 퇴적된 TiN을 포함하였고, 상기 기판의 제 2 표면은 플라스마 강화 기상 증착(PEALD)에 의하여 퇴적된 SiO2를 포함하였다. 샘플 AlN 막들은 트리메틸알루미늄(TMA)을 제 1 전구체로서 이용하고 NH3를 제 2 전구체로서 이용하는 ALD에 의하여 선택적으로 퇴적되었다. 각 퇴적 사이클은 375ㅀC의 온도 및 2 Torr의 반응 챔버 압력에서 수행되었다. 각 퇴적 사이클은 0.5초의 제 1 전구체 펄스 및 2초의 제 2 전구체 펄스를 포함하였다. 각 TMA 펄스 이후에 상기 반응 챔버는 3초 동안 퍼지되었고, 각 NH3 펄스 이후에는 상기 반응 챔버가 2초 동안 퍼지되었다.
30 내지 70 퇴적 사이클들로 구성되는 ALD 공정을 이용하여 샘플들이 퇴적되었다. 도 5에 도시된 바와 같이, TiN인 제 1 표면 위에 퇴적된 물질의 두께들을 측정하여 SiO2인 제 2 표면 위에 퇴적된 물질의 두께들과 비교하였다. TiN인 제 1 표면 위에 퇴적된 물질의 두께와 SiO2인 제 2 표면 위에 퇴적된 물질의 두께의 비는 상기 퇴적 공정의 선택도를 정의한다. 도 5는 70회의 퇴적 사이클들로 구성된 ALD 공정에 있어서 상기 제 1 표면 위에 상기 제 2 표면에 대하여 상대적으로 퇴적된 AlN의 비가 대략 8.5:1로서 약 89%의 선택도를 갖는 것을 보여준다.
예 2
본 예에서 기판의 제 1 표면 위에 AlN이 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적되었다. 본 예에서 상기 기판의 제 1 표면은 ALD에 의하여 퇴적된 TiN을 포함하였고, 상기 기판의 제 2 표면은 PEALD에 의하여 퇴적된 SiO2를 포함하였다. 또한 ALD로 퇴적된 TiN을 포함하는 제 1 표면 위에 AlN이 실리콘 자연 산화물을 포함하는 제 2 표면에 대하여 상대적으로 선택적 퇴적된 샘플이 제조되었다. 샘플 AlN 막들은 트리메틸알루미늄(TMA)을 제 1 전구체로서 이용하고 NH3를 제 2 전구체로서 이용하는 ALD에 의하여 선택적으로 퇴적되었다. 각 퇴적 사이클은 375ㅀC의 온도 및 2 Torr의 반응 챔버 압력에서 수행되었다. 각 퇴적 사이클은 0.5초의 제 1 전구체 펄스 및 1초의 제 2 전구체 펄스를 포함하였다. 각 TMA 펄스 이후에 상기 반응 챔버는 3초 동안 퍼지되었고, 각 NH3 펄스 이후에는 상기 반응 챔버가 2초 동안 퍼지되었다.
70 내지 150 퇴적 사이클들로 구성되는 ALD 공정을 이용하여 샘플들이 퇴적되었다. 도 6에 도시된 바와 같이, TiN인 제 1 표면 위에 퇴적된 물질의 두께들을 측정하여 SiO2인 제 2 표면 및 자연 산화물 표면 위에 퇴적된 물질의 두께들과 비교하였다. 도 6은 130회의 퇴적 사이클들로 구성된 ALD 공정에 있어서, 상기 제 1 표면 위에 PEALD SiO2인 상기 제 2 표면에 대하여 상대적으로 퇴적된 AlN의 비가 대략 43:1로서 약 98%의 선택도를 갖는 것을 보여준다. 110회의 퇴적 사이클들로 구성된 ALD 공정에 있어서, 상기 제 1 표면 위에 자연 산화물인 상기 제 2 표면에 대하여 상대적으로 퇴적된 AlN의 비는 대략 3:1이었으며 이는 약 75%의 선택도를 나타낸다.
예 3
본 예에서 기판의 제 1 표면 위에 AlN이 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적되었다. 상기 기판의 제 1 표면은 ALD에 의하여 퇴적된 TiN을 포함하였고, 상기 기판의 제 2 표면은 실리콘 자연 산화물을 포함하였다. AlN을 퇴적시키기에 앞서, 상기 기판에 대하여 플라스마 처리 공정을 수행하였다. 상기 기판은 50W의 파워에서 생성된 플라스마에 10초 동안 직접 노출되었다. 상기 플라스마는 O2로부터 생성되었다.
샘플 AlN 막은 트리메틸알루미늄(TMA)을 제 1 전구체로서 이용하고 NH3를 제 2 전구체로서 이용하는 ALD 공정에 의하여 선택적으로 퇴적되었다. 각 퇴적 사이클은 375ㅀC의 온도 및 2 Torr의 반응 챔버 압력에서 수행되었다. 각 퇴적 사이클은 0.5초의 제 1 전구체 펄스 및 1초의 제 2 전구체 펄스를 포함하였다. 각 TMA 펄스 이후에 상기 반응 챔버는 3초 동안 퍼지되었고, 각 NH3 펄스 이후에는 상기 반응 챔버가 2초 동안 퍼지되었다. 상기 샘플은 110회의 퇴적 사이클들로 구성된 ALD 공정을 이용하여 퇴적되었다.
이제 도 7을 참조하면, 플라스마 처리된 TiN인 상기 제 1 표면 위에 퇴적된 물질의 두께를 측정하여 플라스마 처리된 자연 산화물인 상기 제 2 표면 위에 퇴적된 물질의 두께와 비교하였다. 또한 도 7은 예 2의 샘플들로부터 얻은 데이터도 보인다. TiN인 상기 제 1 표면 상에 110회의 퇴적 사이클들 후 퇴적된 물질의 두께를 플라스마 처리된 TiN인 상기 제 1 표면 상에 110회의 퇴적 사이클들 후 퇴적된 물질의 두께와 비교할 때, AlN 두께에 있어서의 상당한 변화는 관찰되지 않았다. 그러나, 자연 산화물인 상기 제 2 표면 상에 110회의 퇴적 사이클들 후 퇴적된 물질의 두께를 플라스마 처리된 자연 산화물인 상기 제 2 표면 상에 110회의 퇴적 사이클들 후 퇴적된 물질의 두께와 비교할 때, AlN 두께에 있어서의 상당한 감소가 관찰된다. 플라스마 처리 후, 상기 제 1 표면에 대하여 상대적으로 상기 제 2 표면 위에서는 근본적으로 퇴적이 관찰되지 않는다. 110회의 퇴적 사이클들로 구성되는 ALD 공정에 있어서, 플라스마 처리된 TiN인 상기 제 1 표면 위에, 플라스마 처리된 자연 산화물인 상기 제 2 표면에 대하여 상대적으로 퇴적된 AlN의 비가 대략 33:1이다. 이것은 플라스마 처리 없이 수행된 동일한 선택적 퇴적 공정과 비교하였을 때 선택도에 있어서 10배 이상 향상된 것이다.
상기 기판의 제 1 표면이 ALD에 의하여 퇴적된 TiN을 포함하고 상기 기판의 제 2 표면이 실리콘 자연 산화물을 포함하는 추가적인 샘플을 제조하였다. AlN의 퇴적에 앞서, 상기 기판들에 대하여 플라스마 처리 공정이 수행되었다. 상기 기판들은 50W 내지 300W의 파워에서 생성된 플라스마에 3초 내지 10초 동안 직접 노출되었다. 상기 플라스마는 O2로부터 생성되었다. 각 기판의 제 1 표면 위에 퇴적된 AlN의 두께들이 상기 제 2 표면 위에 퇴적된 물질의 두께들과 비교되었으며, 각 샘플에 대한 선택도를 계산하였다. 결과들은 아래의 표 1에 나타내었다.
O2 플라스마 파워 (와트) O2 직접 플라스마 노출 시간 (초) 사이클
횟수
TiN 위에 퇴적된 AlN의 두께 (nm) 자연 산화물 위에 퇴적된 AlN의 두께(nm) 선택도
50 10 110 5.21 0.16 32.6
300 10 110 4.36 0.2 21.8
300 3 110 4.40 0.43 10.2
<직접 플라스마 처리 조건들을 변화시킨 ALD에 의하여 퇴적된 AlN의 선택도>
이들 결과들로부터, 직접 플라스마 전처리의 파워가 증가함에 따라 AlN 퇴적의 선택도가 감소하는 것이 관찰되는 한편, 직접 플라스마 노출의 지속 시간이 증가함에 따라 선택도도 증가하는 것으로 관찰되었다.
예 4
본 예에서 기판의 제 1 표면 위에 AlN이 상기 기판의 상이한 표면인 제 2 표면에 대하여 상대적으로 선택적 퇴적되었다. 본 예에서 상기 기판의 제 1 표면은 ALD에 의하여 퇴적된 TiN을 포함하였고, 상기 기판의 제 2 표면은 PEALD에 의하여 퇴적된 SiO2를 포함하였다. ALD로 퇴적된 TiN을 포함하는 제 1 표면 위에 AlN이 PEALD에 의하여 퇴적된 SiO2를 포함하는 제 2 표면에 대하여 상대적으로 선택적 퇴적된 추가적인 샘플이 제조되었다. 샘플 AlN 막들은 트리메틸알루미늄(TMA)을 제 1 전구체로서 이용하고 NH3를 제 2 전구체로서 이용하는 ALD에 의하여 선택적으로 퇴적되었다. 각 퇴적 사이클은 390ㅀC의 온도 및 2 Torr의 반응 챔버 압력에서 수행되었다. 각 퇴적 사이클은 0.5초의 제 1 전구체 펄스 및 1초의 제 2 전구체 펄스를 포함하였다. 각 TMA 펄스 이후에 상기 반응 챔버는 5초 동안 퍼지되었고, 각 NH3 펄스 이후에는 상기 반응 챔버가 5초 동안 퍼지되었다.
70 내지 100 퇴적 사이클들로 구성되는 ALD 공정을 이용하여 샘플들이 퇴적되었다. 도 8에 도시된 바와 같이, TiN 및 W인 제 1 표면들 위에 퇴적된 물질의 두께들을 측정하여 SiO2인 제 2 표면 위에 퇴적된 물질의 두께들과 비교하였다. 도 8은 W 또는 TiN을 포함하는 제 1 표면 위에서 AlN의 퇴적이 SiO2 표면에 비하여 상대적으로 매우 높은 선택도를 갖는 것을 보여 준다.

Claims (30)

  1. 기판의 제 1 표면 위에 알루미늄 및 질소를 포함하는 물질을 동일한 상기 기판의 Si-O 결합들을 포함하는 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위한 방법으로서, 상기 방법은:
    상기 기판을 알루미늄을 포함하는 기상의 제 1 전구체와 접촉시키는 단계; 및
    상기 기판을 질소를 포함하는 기상의 제 2 전구체와 접촉시키는 단계;
    를 포함하는 퇴적 사이클을 하나 이상 포함하고,
    상기 기판의 제 1 표면 위에 알루미늄 및 질소를 포함하는 상기 물질이, 동일한 상기 기판의 Si-O의 상기 제 2 표면에 대하여 약 50%보다 더 큰 선택도로 퇴적되는 선택적 퇴적 방법.
  2. 제 1 항에 있어서,
    상기 제 1 표면은 구리, 티타늄 질화물, 텅스텐, 및 실리콘 질화물 중 적어도 하나를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  3. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 상기 물질이 알루미늄 질화물 박막인 것을 특징으로 하는 선택적 퇴적 방법.
  4. 제 3 항에 있어서,
    상기 알루미늄 질화물 박막이 산소를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  5. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 유기금속 알루미늄 화합물인 것을 특징으로 하는 선택적 퇴적 방법.
  6. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 알루미늄 이외에는 어떠한 다른 금속도 포함하지 않는 것을 특징으로 하는 선택적 퇴적 방법.
  7. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 R3Al의 화학식을 갖는 것을 특징으로 하는 선택적 퇴적 방법(R은 각각 독립적으로 C1-C4 알킬기들로부터 선택될 수 있음).
  8. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 할로겐화물(halide)을 포함하지 않는 것을 특징으로 하는 선택적 퇴적 방법.
  9. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 하나의 염소 리간드 및 두 개의 알킬 리간드들을 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  10. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 적어도 하나의 수소 리간드 및 적어도 하나의 알킬 리간드를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  11. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 질소, 실리콘, 또는 산소를 포함하지 않는 것을 특징으로 하는 선택적 퇴적 방법.
  12. 제 1 항에 있어서,
    알루미늄을 포함하는 상기 기상의 제 1 전구체가 트리-tert-부틸알루미늄 (tritertbutylaluminum, TTBA), 트리메틸알루미늄 (trimethylaluminum, TMA) 또는 트리에틸알루미늄 (triethylaluminum, TEA)을 포함하고, 질소를 포함하는 상기 기상의 제 2 전구체가 NH3를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  13. 제 1 항에 있어서,
    상기 Si-O의 제 2 표면이 유전체를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  14. 제 1 항에 있어서,
    상기 Si-O의 제 2 표면이 약 1 오옴·m보다 더 큰 비저항(resistivity)을 갖는 것을 특징으로 하는 선택적 퇴적 방법.
  15. 제 1 항에 있어서,
    상기 방법은 열적 원자층 증착 (atomic layer deposition, ALD) 공정을 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  16. 제 1 항에 있어서,
    상기 방법은 적어도 2회의 연속적인 퇴적 사이클들에서 플라스마를 포함하지 않는 것을 특징으로 하는 선택적 퇴적 방법.
  17. 제 1 항에 있어서,
    첫 번째 퇴적 사이클에 앞서 상기 기판을 전처리 반응물에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  18. 제 17 항에 있어서,
    상기 전처리 반응물이 플라스마를 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  19. 제 1 항에 있어서,
    적어도 하나의 퇴적 사이클 이후에 상기 기판을 플라스마에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  20. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 상기 물질이 묽은 HF 내에서 SiO2에 대하여 상대적으로 식각 선택도를 갖는 것을 특징으로 하는 선택적 퇴적 방법.
  21. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 Si-O의 제 2 표면에 대하여 상대적으로 퇴적되는 비율이 약 10:1보다 더 크고,
    상기 기판의 제 1 표면 위에 퇴적된 알루미늄 및 질소를 포함하는 물질의 두께가 약 5 nm보다 더 큰 것을 특징으로 하는 선택적 퇴적 방법.
  22. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 Si-O의 제 2 표면에 대하여 상대적으로 퇴적되는 비율이 약 10:1보다 더 크고,
    상기 기판의 제 1 표면 위에 퇴적된 알루미늄 및 질소를 포함하는 물질의 두께가 약 1 nm보다 더 큰 것을 특징으로 하는 선택적 퇴적 방법.
  23. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 Si-O의 제 2 표면에 대하여 상대적으로 퇴적되는 비율이 약 10:1보다 더 크고,
    약 1회 내지 약 25회의 퇴적 사이클들을 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  24. 제 1 항에 있어서,
    알루미늄 및 질소를 포함하는 물질이 상기 기판의 제 1 표면 위에, 동일한 상기 기판의 Si-O의 제 2 표면에 대하여 상대적으로 퇴적되는 비율이 약 10:1보다 더 크고,
    약 1회 내지 약 150회의 퇴적 사이클들을 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  25. 제 1 항에 있어서,
    약 1회 내지 약 25회의 퇴적 사이클들 이후에, 상기 기판의 Si-O의 상기 제 2 표면 위에 알루미늄 및 질소를 포함하는 물질이 약 0.1 nm 미만으로 퇴적되는 것을 특징으로 하는 선택적 퇴적 방법.
  26. 제 1 항에 있어서,
    퇴적된 상기 알루미늄 및 질소를 포함하는 물질의 습식 식각 속도와 SiO2의 습식 식각 속도의 비율이 약 1:5 미만인 것을 특징으로 하는 선택적 퇴적 방법.
  27. 제 1 항에 있어서,
    상기 기판의 Si-O 표면이 소스/드레인 영역 위에 가로 놓이고,
    상기 기판의 Si-O의 제 2 표면을 제거함으로써 상기 기판의 상기 소스/드레인 영역을 노출시키는 단계; 및
    상기 기판의 노출된 상기 소스/드레인 영역 위에 콘택을 형성하는 단계;
    를 더 포함하는 것을 특징으로 하는 선택적 퇴적 방법.
  28. 기판의 제 1 표면 위에 알루미늄 및 질소를 포함하는 물질을 동일한 상기 기판의 유전체인 제 2 표면에 대하여 상대적으로 선택적 퇴적시키기 위한 방법으로서, 상기 방법은:
    상기 기판을 알루미늄을 포함하는 기상의 제 1 전구체와 접촉시키는 단계; 및
    상기 기판을 질소를 포함하는 기상의 제 2 전구체와 접촉시키는 단계;
    를 포함하는 퇴적 사이클을 하나 이상 포함하고,
    상기 기판의 제 1 표면 위에 알루미늄 및 질소를 포함하는 상기 물질이, 동일한 상기 기판의 유전체인 상기 제 2 표면에 대하여 약 50%보다 더 큰 선택도로 퇴적되는 선택적 퇴적 방법.
  29. 기판 상으로의 AlN 퇴적 방법으로서,
    상기 기판을 기상의 트리-tert-부틸알루미늄 (tritertbutylaluminum, TTBA) 및 기상의 NH3와 교대적으로 그리고 순차적으로 접촉시키는 단계;
    를 포함하는 퇴적 사이클을 적어도 하나 포함하는 AlN 퇴적 방법.
  30. 자기-정렬된 콘택의 형성에 있어서 식각 정지막을 형성하는 방법으로서,
    제 1 표면 및 소스/드레인 영역 위에 가로놓이며 유전체인 제 2 표면을 포함하는 반도체 기판을 제공하는 단계;
    상기 기판의 제 1 표면에 리세스를 형성하기 위하여 상기 기판의 제 1 표면의 일부를 제거하는 단계;
    상기 제 1 표면 위에 알루미늄 및 질소를 포함하는 물질을 유전체인 상기 제 2 표면에 대하여 상대적으로 선택적 퇴적시키는 단계;
    상기 기판의 상기 소스/드레인 영역을 노출시키기 위하여 상기 기판의 유전체인 상기 제 2 표면을 제거하는 단계; 및
    상기 기판의 노출된 상기 소스/드레인 영역 위에 콘택을 형성하는 단계;
    를 포함하는 식각 정지막의 형성 방법.
KR1020160099080A 2015-08-05 2016-08-03 알루미늄 및 질소 함유 물질의 선택적 퇴적 KR20170017779A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/819,274 2015-08-05
US14/819,274 US10566185B2 (en) 2015-08-05 2015-08-05 Selective deposition of aluminum and nitrogen containing material

Publications (1)

Publication Number Publication Date
KR20170017779A true KR20170017779A (ko) 2017-02-15

Family

ID=58053516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160099080A KR20170017779A (ko) 2015-08-05 2016-08-03 알루미늄 및 질소 함유 물질의 선택적 퇴적

Country Status (4)

Country Link
US (2) US10566185B2 (ko)
JP (1) JP6813983B2 (ko)
KR (1) KR20170017779A (ko)
TW (1) TWI708858B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180117525A (ko) * 2017-04-19 2018-10-29 램 리써치 코포레이션 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
KR20210043745A (ko) * 2018-09-14 2021-04-21 어플라이드 머티어리얼스, 인코포레이티드 선택적 산화알루미늄 막 증착
US20220076949A1 (en) * 2017-05-16 2022-03-10 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105609603A (zh) * 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 一种具有复合结构的氮化物缓冲层
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102287788B1 (ko) * 2017-02-14 2021-08-10 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 재료의 선택적 증착
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102631150B1 (ko) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW201943881A (zh) * 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951760B (zh) * 2019-11-26 2022-06-24 长鑫存储技术有限公司 存储器及其形成方法
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) * 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) * 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) * 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106415800B (zh) 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) * 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180117525A (ko) * 2017-04-19 2018-10-29 램 리써치 코포레이션 Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US20220076949A1 (en) * 2017-05-16 2022-03-10 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US11728164B2 (en) * 2017-05-16 2023-08-15 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
KR20210043745A (ko) * 2018-09-14 2021-04-21 어플라이드 머티어리얼스, 인코포레이티드 선택적 산화알루미늄 막 증착

Also Published As

Publication number Publication date
TWI708858B (zh) 2020-11-01
US10847361B2 (en) 2020-11-24
US20170040164A1 (en) 2017-02-09
US20200343089A1 (en) 2020-10-29
US10566185B2 (en) 2020-02-18
JP6813983B2 (ja) 2021-01-13
JP2017041632A (ja) 2017-02-23
TW201718923A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
US10847361B2 (en) Selective deposition of aluminum and nitrogen containing material
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
KR102192161B1 (ko) GeO2의 원자층 증착
KR20200146036A (ko) 이중 선택적 퇴적
KR101540077B1 (ko) 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
TWI404816B (zh) 光激發可用於原子層沈積之介電層的化學物之方法與設備
TWI655308B (zh) 沉積鈦鋁薄膜的製程
WO2008055017A2 (en) Controlled composition using plasma-enhanced atomic layer deposition
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
KR102033391B1 (ko) 금속 규화물들의 선택적 형성
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
KR20220053635A (ko) 저-k 막들
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
CN114262878A (zh) 氧化硅沉积方法
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
US11978625B2 (en) Methods of forming metal nitride films
US20210388499A1 (en) Low-k films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application