JP2004273766A - 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法 - Google Patents

気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法 Download PDF

Info

Publication number
JP2004273766A
JP2004273766A JP2003062577A JP2003062577A JP2004273766A JP 2004273766 A JP2004273766 A JP 2004273766A JP 2003062577 A JP2003062577 A JP 2003062577A JP 2003062577 A JP2003062577 A JP 2003062577A JP 2004273766 A JP2004273766 A JP 2004273766A
Authority
JP
Japan
Prior art keywords
gas
raw material
gas passage
vaporizer
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003062577A
Other languages
English (en)
Inventor
Hisayoshi Yamoto
久良 矢元
Kazuya Akuto
和哉 阿久戸
Takeshi Nagaoka
健 長岡
Hitoshi Kobayashi
等 小林
Masabumi Shoji
正文 庄司
Mitsuru Fukagawa
満 深川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Watanabe Shoko KK
M Watanabe and Co Ltd
Original Assignee
Watanabe Shoko KK
M Watanabe and Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Watanabe Shoko KK, M Watanabe and Co Ltd filed Critical Watanabe Shoko KK
Priority to JP2003062577A priority Critical patent/JP2004273766A/ja
Priority to US10/548,202 priority patent/US20070166457A1/en
Priority to PCT/JP2004/002969 priority patent/WO2004079806A1/ja
Priority to EP04718432A priority patent/EP1608005A4/en
Priority to KR1020057016730A priority patent/KR20050106509A/ko
Publication of JP2004273766A publication Critical patent/JP2004273766A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】装置の目詰まりの進行状況を把握することが可能な気化装置及び気化方法を提供することを目的とする。完全な目詰まりが生じる前に目詰まりの除去を装置の分解を伴うことなく行うことが可能な気化装置及び気化方法を提供することを目的とする。
【解決手段】ガス通路の一端からキャリアガスを導入し、該ガス通路の他端から原料溶液を含むキャリアガスを気化部に送り気化させる気化装置であり、該ガス通路の一端に流量制御装置(MFC)を設けるとともに、該ガス通路内における圧力を検知するための手段を設けたことを特徴とする。
前記ガス通路内に堆積あるいは付着した物を溶解することが可能な薬液を、該ガス通路内に導入するための手段を設けたことを特徴とする。
【選択図】 図24

Description

【0001】
【産業上の利用分野】
本発明は、例えばMOCVDなどの成膜装置に好適に用いられる気化器及びの気化方法並びに成膜装置その他の各種装置に関する。
【0002】
【従来の技術】
DRAMの開発において問題となるのは、微細化にともなう記憶キヤパシタンスである。ソフトエラーなどの点からはキャバシタンスは前の世代と同程度が要求されるため何らかの対策を必要としている。この対策として1Mまでのセル構造はプレーナ構造であったものが、4Mからスタック構造、トレンチ構造と称される立体構造が取り入れられ、キヤパシタ面積の増加を図ってきた。また誘電膜も基板Siの熱酸化膜からポリSi上で熱酸化膜とCVD窒化膜を積層する膜(この積層された膜を―般にON膜という。)が採用された。l6MDRAMでは、さらに容量に寄与する面積を増加させるため、スタック型では側面を利用する立体型、プレートの裏面も利用するフィン型などが取り入れられた。
【0003】
しかし、このような立体構造ではプロセスの複雑化による工程数の増加ならびに段差の増大による歩留りの低下が問題視され、256Mビット以降の実現は困難であるとされている。そのため現在のDRAMの構造を変えずに更に集積度を増加させるための1つの道として、キヤパシタンスの誘電体は高い誘電率のものに切り替えていく方法が考え出された。そして、誘電率の高い誘電体薄膜としてTa、Y、HfOなどが高誘電率単金属常誘電体酸化物の薄膜がまず注目された。それぞれの比誘電率はTaが28、Yが16、HfOが24程度であり、SiOの4〜7倍である。
【0004】
しかし256MDRAM以降での適用には、立体キャバシタ構造が必要である。これらの酸化物よりさらに高い比誘電率をもち、DRAMへの適用が期待される材料として、(BaSr1−x)TiO、Pb(ZrTi1−y)O、(Pb1−a)(ZrTi1−b)Oの3種類が有力視されている。また、超電導材料と非常によく似た結晶構造を持つBi系の層状構造は高誘電率を有し、強誘電体特性の自己分極を持ち、不揮発性メモリーとして優れている点から、近年大きく注目されている。
【0005】
一般にSrBiTaO強誘電体薄膜形成は、実用的かつ将来性のあるMOCVD(有機金属気相成長)法で行われている。
【0006】
強誘電体薄膜の原料は、例えば、3種類の有機金属錯体Sr(DPM)、Bi(C及びTa(OCであり、それぞれTHF(テトラヒドロフラン)、ヘキサンその他の溶剤に溶かし、原料溶液として使用されている。Sr(Ta(OEt)6)や、Bi(OtAm)もヘキサンその他の溶剤に溶かし、原料溶液として使用されている。なお、DPMはジビバロイメタンの略である。
【0007】
それぞれの材料特性を表1に示す。
【0008】
【表1】
Figure 2004273766
【0009】
MOCVD法に用いる装置は、SrBiTaO薄膜原料を気相反応及び表面反応させ成膜を行わせる反応部、SrBiTaO薄膜原料並びに酸化剤を反応部へ供給する供給部から構成される。
【0010】
そして、供給部は薄膜原料を気化させるための気化器が設けられている。
【0011】
従来、気化器に関する技術としては、図16に示す各方法が知られている。図16(a)に示すものはメタルフィルター式と称されるものであり、周囲に存在する気体とSrBiTaO強誘電体薄膜原料溶液との接触面積を増加させる目的で用いられたメタルフィルターに、所定の温度に加熱された原料溶液を導入することにより気化を行う方法である。
【0012】
しかし、この技術においては、数時間の気化でメタルフィルターが目詰まりを起すので、長期使用に耐えられないという問題を有している。その原因は、溶液が加熱され気化温度の低いものから蒸発するするためであると本発明者は推測した。
【0013】
図16(b)は原料溶液に30kgf/cmの圧力をかけて10μmの細孔から原料溶液を放出させ膨張によって原料溶液を気化させる技術である。
【0014】
しかし、この技術においては、数時間の使用により細孔が詰まり、やはり長期の使用に耐えられないという問題を有している。
【0015】
また、原料溶液が、複数の有機金属錯体の混合溶液、例えば、Sr(DPM)/THFとBi(C/THFとTa(OC/THFの混合溶液であり、この混合溶液を加熱によって気化する場合、蒸気圧の最も高い溶剤(この場合THF)がいち早く気化し、加熱面上には有機金属錯体が析出付着するため反応部への安定な原料供給ができないという問題が生ずる。図1に示すこれらの方法は全て液体又はミスト状態に於いて溶剤の蒸発又は変化しうる熱量が加えられてしまう。
【0016】
さらに、MOCVDにおいて、均一性に優れた膜を得るためには原料溶液が均一に分散した気化ガスを得ることが要請される。しかし、上記従来技術では必ずしもかかる要請に応えきれていない。
【0017】
かかかる要請に応えるべく、本発明者は、別途、次なる技術を提供している。
【0018】
すなわち、図15に示す通り、▲1▼内部に形成されたガス通路と、該ガス通路に加圧されたキャリアガスを導入するためのガス導入口と、該ガス通路に原料溶液を供給するための手段と、原料溶液を含むキャリアガスを気化部に送るためのガス出口と、該ガス通路を冷却するための手段と、
気化部よりの輻射熱により原料ガスに分散部内で熱エネルギーが加わらない様に冷却された輻射熱防止噴出部、を有する分散部と;
▲2▼一端がMOCVD装置の反応管に接続され、他端が前記ガス出口に接続された気化管と、
該気化管を加熱するための加熱手段と、を有し、前記分散部から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部と;気化部よりの輻射熱により原料ガスに分散部内で熱エネルギーが加わらぬ用を有するMOCVD用気化器である。
【0019】
この技術は、従来に比べ目詰まりが極めて少なく、長期使用が可能であり、かつ、反応部への安定的な原料供給が可能なMOCVD用気化器である。
【0020】
また、この技術は、予め加熱された酸素の導入口が、気化部下流に設けられている。
【0021】
しかし、この技術によってもまだ、ガスの通路に結晶の析出がみられ、目詰まりが生じることがある。
【0022】
また、形成された膜中には大量の炭素(30〜40at%)が含有されている。この炭素を除去するためには成膜後高温においてアニールを行う(例:800℃、60分、酸素雰囲気)必要が生じてしまう。
【0023】
さらに成膜を行う場合においては、組成比のバラツキが大きく生じてしまう。本出願人は、上記課題を解決するための技術を特願として出願している。すなわち、該出願における発明は、次ぎの目的を有している。目詰まりなどを起こすことがなく長期使用が可能であり、かつ、反応部への安定的な原料供給が可能な気化器を提供することを目的とする。
【0024】
本発明は、アズデポ状態においても膜中における炭素の含有量が極めて少なくすることができ、膜の組成比を正確に制御することが可能な気化器、成膜装置その他の各種装置及び気化方法を提供することを目的とする。
【0025】
本発明は、原料溶液が均一に分散した気化ガスを得ることができる気化器及び気化方法を提供することを目的とする。
しかるに、上記技術においても未だ目詰まり発生の防止が完全とは言えず、また、成膜中における目詰まりの進行状況の把握が完全には行われていない。
また、完全に目詰まりが発生した場合にはその除去が困難であり、装置を分解して目詰まり状態の除去を行う。
【0026】
【発明が解決しようとする課題】
本発明は、装置の目詰まりの進行状況を把握することが可能な気化装置及び気化方法を提供することを目的とする。
本発明は、完全な目詰まりが生じる前に目詰まりの除去を装置の分解を伴うことなく行うことが可能な気化装置及び気化方法を提供することを目的とする。
【0027】
【課題を解決するための手段】
本発明の気化装置は、ガス通路の一端からキャリアガスを導入し、該ガス通路の他端から原料溶液を含むキャリアガスを気化部に送り気化させる気化装置であり、
該ガス通路の一端に流量制御装置(MFC)を設けるとともに、該ガス通路内における圧力を検知するための手段(以下「圧力検知手段」という)を設けたことを特徴とする気化装置。
【0028】
前記ガス通路内に堆積あるいは付着した物(以下「堆積物等」という)を溶解することが可能な薬液を、該ガス通路内に導入するための手段(以下「溶解薬液供給手段」という。)薬液を設けたことを特徴とする。
【0029】
前記薬液は、前記原料溶液の溶媒であることを特徴とする。
前記ガス通路は、該他端は、他の部分より細くなっていることを特徴とする。
前記他端の径は2mm以下であることを特徴とする。
前記圧力検知手段からの信号を表示するための手段を設けたことを特徴とする。
【0030】
本発明の気化方法は、ガス通路の一端からキャリアガスを導入し、該ガス通路の他端から原料溶液を含むキャリアガスを気化部に送り気化させる気化方法であり、
該ガス通路の一端に流量制御装置(MFC)を設けるとともに、該ガス通路内における圧力を検知しながら気化させることを特徴とする。
前記圧力が所定の値以上となったときに堆積物等を溶解することが可能な薬液を該ガス通路内に導入することを特徴とする。
前記薬液は、前記原料溶液の溶媒であることを特徴とする。
前記ガス通路は、該他端は、他の部分より細くなっていることを特徴とする。
前記他端の径は2mm以下であることを特徴とする。
前記圧力を表示することを特徴とする。
【0031】
本発明の成膜装置は、上記いずれかの気化装置を備えたことを特徴とする。
前記成膜装置はMOCVD装置であることを特徴とする。
本発明の成膜方法は、上記いずれかの気化方法により気化させて成膜を行うことを特徴とする。
前記成膜方法はMOCVD方法であることを特徴とする。
【0032】
本発明の他の成膜装置は、帯状基体を連続的に送りながら該帯状基体の表面に成膜を行うための成膜装置において、該表面に対向させて、上記のいずれか気化装置を複数個設けたことを特徴とする。
【0033】
本発明の成膜方法は、前記成膜装置を用いた成膜方法であって、前記複数の気化器のいずれかの気化器において、溶解薬液供給手段をオンとし、他の気化器においては気化を行い続けて連続的に成膜を行うことを特徴とする。
また、本発明おける圧力検知手段を設けることあるいは該圧力検知手段により得た圧力情報に基き出口を含むガス通路を薬液で洗浄することは、従来の気化器、例えば、特開平3−126872号公報の図1に示す構造の気化器、特開2002−110546号公報の図2に示す構造の気化器についても適用できる。また、図26に示す構造の気化器にも適用できる。
【0034】
特に以下の気化器あるいは気化方法に適用することが好ましい。
▲1▼内部に形成されたガス通路と、
該ガス通路にキャリアガスを導入するためのガス導入口と、
該ガス通路に原料溶液を供給するための手段と、
原料溶液を含むキャリアガスを気化部に送るためのガス出口と、
該ガス通路を冷却するための手段と、
を有する分散部と;
▲2▼一端が成膜その他の各種装置の反応部に接続され、他端が前記ガス出口に接続された気化管と、
該気化管を加熱するための加熱手段と、
を有し、
前記分散部から送られてきた、霧化された原料溶液を含むキャリアガスを加熱して気化させるための気化部と;
を有し、
該ガス出口の外側に細孔を有する輻射防止部を設けたことを特徴とする気化器。
▲1▼内部に形成されたガス通路と、
該ガス通路に加圧されたキャリアガスを導入するためのガス導入口と、
該ガス通路に原料溶液を供給するための手段と、
原料溶液を含むキャリアガスを気化部に送るためのガス出口と、
を有する分散部と;
▲2▼一端が成膜その他の各種装置の反応部に接続され、他端が前記ガス出口に接続された気化管と、
該気化管を加熱するための加熱手段と、
を有し、
前記分散部から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部と;
を有し、
▲3▼前記分散部は、円筒状或いは円錐状中空部を有する分散部本体と、該円筒状或いは円錐状中空部の内径より小さな外径を有するロッドとを有し、
該ロッドは、その外周の気化器側に1又は2以上の螺旋状の溝を有し、かつ、該円筒状或いは円錐状中空部に挿入され、
▲4▼ 該ガス出口の外側に、ガス出口側に細孔を有し、気化器側に向かい内径がテーパー状に広がる冷却された輻射防止部を設けたことを特徴とする気化器。
【0035】
▲1▼内部に形成されたガス通路と、
該ガス通路にキャリアを導入するためのガス導入口と、
該ガス通路に原料溶液を供給するための手段と、
原料溶液を含むキャリアガスを気化部に送るためのガス出口と、
該ガス通路を冷却するための手段と、
を有する分散部と;
▲2▼一端が成膜その他の各種装置の反応部に接続され、他端が前記ガス出口に接続された気化管と、
該気化管を加熱するための加熱手段と、
を有し、
前記分散部から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部と;
を有し、
前記ガス導入口からキャリアガスとして、ArまたはN2、ヘリウム等に僅かな酸化性ガスを添加し導入する方法又は噴出部直近の一次酸素供給口より酸化性ガス又はその混合ガスを導入し得るようにしたことを特徴とする。
【0036】
本発明の気化器は、▲1▼内部に形成されたガス通路と、
該ガス通路にキャリアを導入するためのガス導入口と、
該ガス通路に原料溶液を供給するための手段と、
原料溶液を含むキャリアガスを気化部に送るためのガス出口と、
該ガス通路を冷却するための手段と、
を有する分散部と;
▲2▼一端が成膜その他の各種装置の反応部に接続され、他端が前記ガス出口に接続された気化管と、
該気化管を加熱するための加熱手段と、
を有し、
前記分散部から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部と;
を有し、
該ガス出口の外側に細孔を有する輻射防止部を設け、
前記ガス導入口からキャリアガスと酸化性ガスとを導入し得るようにしたことを特徴とする気化器。
【0037】
ガス通路に原料溶液を導入し、該導入した原料溶液に向
けて高速のキャリアガスを噴射させることにより該原料溶液を剪断・霧化させて原料ガスとし、次いで、該原料ガスを気化部に供給し気化させる気化方法において、キャリアガス中に酸素を含有せしめておくことを特徴とする気化方法。
【0038】
原料溶液を供給する複数の溶液通路と、
該複数の溶液通路から供給される複数の原料溶液を混合する混合部と、
一端が混合部に連通し、気化部側となる出口を有する供給通路と、
該供給通路内において、該混合部から出た混合原料溶液に、キャリアガスあるいは、キャリアガスと酸素との混合ガスを吹き付けるように配置されたガス通路と、
該供給通路を冷却するための冷却手段と、
が形成されていることを特徴とする気化器。
【0039】
原料溶液を供給する複数の溶液通路と、
該複数の溶液通路から供給される複数の原料溶液を混合する混合部と、
一端が混合部に連通し、気化部側となる出口を有する供給通路と、
該供給通路内において、該混合部から出た混合原料溶液に、キャリアガスあるいは、キャリアガスと酸素との混合ガスを吹き付けるように配置されたガス通路と、
該供給通路を冷却するための冷却手段と、
が形成されている分散器と、
一端が成膜その他の各種装置の反応部に接続され、他端が前記分散器の出口に接続された気化管と、
該気化管を加熱するための加熱手段と、
を有し、
前記分散部から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部と;
を有し、
該出口の外側に細孔を有する輻射防止部を設けたことを特徴とする分散器。
【0040】
【発明の実施の形態】
図24に本発明の実施の形態を示す。
なお、図24に示す気化器は図19に示す気化器にMFCと圧力検知手段(圧力計)を設けたものである。
【0041】
本例の気化器7400は、ガス通路7403a,7403bの一端からキャリアガス7402a,7402bを導入し、該ガス通路7403a,7403bの他端(出口)7404から原料溶液を含むキャリアガスを気化部7405に送り気化させる気化器であり、ガス通路7403a,7403bの一端に流量制御装置(MFC)7405a,7405bを設けるとともに、ガス通路7403a,7403b内における圧力を検知するための手段である圧力計7401a,7401bを設けてある。
従来、出口7404における目詰まりの発生及び進行を知る手がかりとして成膜後における膜厚の減少であった。すなわち、基板1枚あたりの成膜時間を一定として成膜を行った場合、膜厚が減少し始めた時点において目詰まりが発生したものと考えていた。しかし、膜厚の減少はをもたらす原因は目詰まりに限ったことではない。従って、膜厚が減少した時点で装置を分解してみても目詰まりが発生していない場合が多い。
本発明者は、目詰まりの生ずる状況を入念に観察したところ、目詰まりの発生は、ガス通路内の圧力変動をもたらすことを知見した。そこで、ガス通路内の圧力をMFCで制御しておくとともに、ガス通路内の圧力を検知すれば堆積物等の洗浄が必要な時点を知ることができる。
【0042】
特に、ガス通路7403a,7403b内における堆積物等を溶解することが可能な薬液7411を、ガス通路7403a,7403b内に導入するための手段を設けておけば装置を分解することなく堆積物等の除去を行う事ができる。本発明者は、薬液7411を導入することにより極めて容易かつ短時間に堆積物等の除去を行う事が可能なことを見い出したのである。また、薬液7411による洗浄中においてもガス通路7403A,7403bの圧力を圧力計7401a,7401bで検知すれば洗浄の終了点を知ることができる。
【0043】
なお、前記薬液7411は、原料溶液7412の溶媒を用いてもよ。
また、ガス通路7403a,7403bの他端(出口)7404は、他の部分より細くしておくことが好ましい。特に2mm以下とすることが好ましい。細くすることにより堆積物等の堆積あるいは付着に対してガス通路7403a、7403bの圧力変動を敏感に感知することが可能となる。
【0044】
なお、圧力検知手段7401a,7401bにおいて得られた信号を外部のモニターに表示するようにしておけば容易に洗浄必要時を知ることができる。
また、圧力検知手段7401a,7401bにおいて得られた信号に基き、原料溶液の供給のオン・オフを行う原料溶液バルブ7407、薬液の供給のオン・オフを行う薬液バルブ7406の制御を行うようにしておく事が好ましい。すなわち、ガス通路7403a、7403bの圧力が増加してある一定の値に達した場合には、原料溶液バルブ7407を閉とし、薬液バルブ7406が開となるように自動制御する。また、洗浄時にガス通路7403a、7403bの圧力が低下してある一定値に達した場合には、原料溶液バルブ7407を開とし、薬液バルブ7406が閉となるように自動制御する。
【0045】
300kPaの圧力でキャリアガスをMFC7405a、7405bに導入し、ガス通路7403a、7403bの圧力を100kPaに設定した。
強誘電材料Bi(MMP)3とPZTの成膜を行った。図25に示すように薬液の供給を停止、原料溶液の供給を開始して成膜を開始した。なお、図25において上の線がBi(MMP)3であり、下の線がPZTである。
【0046】
Bi(MMP)3は0.2ccmで供給し、PZTは0.1ccmで供給した。
ガス通路7403a、7403bの圧力の圧力を圧力計7401a,7401bで測定し、測定データをデジタルデータとしてモニターに表示した。
初期圧力は100kPaである。他の気化条件、成膜条件は図25の表中に示す。
約35分後において、Bi(MMP)3は225kPa、PZTは150kPaに到達した。その時点で原料溶液を停止、薬液の供給開始を行った。
図25に示す通り、薬液の供給開始から極めて短時間でガス通路7403a、7403bの圧力は100kPaに戻った。
なお、図24に示す例では、2箇所からキャリアガスの導入を行ったいるが、1箇所からの導入の場合の同様である。また、3箇所以上でも同様である。
以下の実施例においては、各種気化器及び気化器を用いた成膜装置について述べるがこれらの気化器、成膜装置について、上記したMFC、圧力計を設けたところ図25に示すと同傾向の結果が得られた。
【0047】
【実施例】
(実施例1)
図1に実施例1に係るMOCVD用気化器を示す。
【0048】
本例では、分散部を構成する分散部本体1の内部に形成されたガス通路2と、ガス通路2に加圧されたキャリアガス3を導入するためのガス導入口4と、
ガス通路2を通過するキャリアガスに原料溶液5を供給し、原料溶液5をミスト化するための手段(原料供給孔)6と、
ミスト化された原料溶液5を含むキャリアガス(原料ガス)を気化部22に送るためのガス出口7と、
ガス通路2内を流れるキャリアガスを冷却するための手段(冷却水)18と、を有する分散部8と、
一端がMOCVD装置の反応管に接続され、他端が分散部8のガス出口7に接続された気化管20と、
気化管20を加熱するための加熱手段(ヒータ)21と、
を有し、前記分散部8から送られてきた、原料溶液が分散されたキャリアガスを加熱して気化させるための気化部22と、
を有し、
ガス出口7の外側に細孔101を有する輻射防止部102を設けてある。
【0049】
以下実施例をより詳細に説明する。
図に示す例では、分散部本体1の内部は円筒状の中空部となっている。該中空部内にロッド10がはめ込まれており、分散部本体の内壁とロッド10とによりガス通路2が形成されている。なお、中空部は円筒状に限らず、他の形状でもよい。例えば円錐状が好ましい。円錐状の中空部の円巣の角度としては、0〜45°が好ましく、8〜20°がより好ましい。他の実施例においても同様である。
【0050】
なお、ガス通路の断面積は0.10〜0.5mmが好ましい。0.10mm未満では加工が困難である。0.5mmを超えるとキャリアガスを高速化するために高圧のキャリアガスを大流量用いる必要が生じてしまう。
大流量のキャリアガスを用いると、反応チャンバーを減圧(例:1.0Torr)に維持するために、大容量の大型真空ポンプが必要になる。排気容量が、1万リットル/min.(at,1.0Torr)を超える真空ポンプの採用は困難であるから、工業的な実用化を図るためには、適正な流量即ちガス通路面積0.10〜0.5mmが好ましい。
【0051】
このガス通路2の一端にはガス導入口4が設けられている。ガス導入口4にはキャリアガス(例えばN,Ar,He)源(図示せず)が接続されている。
【0052】
分散部本体1のほぼ中央の側部には、ガス通路2に連通せしめて原料供給孔6を設けてあり、原料溶液5をガス通路2に導入して、原料溶液5をガス通路2を通過するキャリアガスに原料溶液5を分散させ原料ガスとすることができる。
【0053】
ガス通路2の一端には、気化部22の気化管20に連通するガス出口7が設けられている。
【0054】
分散部本体1には、冷却水18を流すための空間11が形成されており、この空間内に冷却水8を流すことによりガス通路2内を流れるキャリアガスを冷却する。あるいはこの空間の代わりに例えばペルチェ素子等を設置し冷却してもよい。分散部8のガス通路2内は気化部22のヒータ21による熱影響を受けるためガス通路2内において原料溶液の溶剤と有機金属錯体との同時気化が生ずることなく、溶剤のみの気化が生じてしまう。そこで、ガス通路2内を流れれる原料溶液が分散したキャリアガスを冷却することにより溶剤のみの気化を防止する。特に、原料供給孔6より下流側の冷却が重要であり、少なくとも原料供給孔6の下流側の冷却を行う。冷却温度は、溶剤の沸点以下の温度である。例えば、THFの場合67℃以下である。特に、ガス出口7における温度が重要である。
【0055】
本例では、さらに、ガス出口7の外側に細孔101を有する輻射防止部102を設けてある。なお、103,104はOリングなどのシール部材である。この輻射防止部102は、例えば、テフロン、ステンレス、セラミックなどにより構成すればよい。また、熱伝導性の優れた材料により構成することが好ましい。
本発明者の知見によれば、従来技術においては、気化部における熱が、輻射熱としてガス出口7を介してガス通路2内におけるガスを過熱してしまう。従って、冷却水18により冷却したとしてもガス中の低融点成分がガス出口7近傍に析出してしまう。
【0056】
輻射防止部は、かかる輻射熱がガスに伝播することを防止するための部材である。従って、細孔101の断面積は、ガス通路2の断面積より小さくすることが好ましい。1/2以下とすることが好ましく、1/3以下とすることがより好ましい。また、細孔を微小化することが好ましい。特に、噴出するガス流速が亜音速となる寸法に微小化することが好ましい。
【0057】
また、前記細孔の長さは、前記細孔寸法の5倍以上であることが好ましく、10倍以上であることがより好ましい。
【0058】
また、分散部を冷却することにより、長期間にわる使用に対してもガス通路内(特にガス出口)における炭化物による閉塞を生ずることがない。
【0059】
分散部本体1の下流側において、分散部本体1は気化管20に接続されている。分散部本体1と気化管20との接続は継手24により行われ、この部分が接続部23となる。
【0060】
全体図を図2に示す。気化部22は気化管20と加熱手段(ヒータ)21とから構成される。ヒータ21は気化管20内を流れる原料溶液が分散したキャリアガスを加熱し気化させるためのヒータである。ヒータ21としては、従来は円筒型ヒーターやマントルヒーターを気化管20の外周に貼り付けることにより構成するが、
気化管の長さ方向に対して、均一な温度になるよう加熱するには、熱容量が大きい液体や気体を熱媒体に用いる方法が最も優れていたため、これを採用した。
【0061】
気化管20としては、例えばSUS316Lなどのステンレス鋼を用いることが好ましい。気化管20の寸法は、気化ガスの温度が、十分に加熱される長さに、適宜決定すればよいが、例えば、SrBiTa原料溶液0.04ccmを気化する場合には、外径3/4インチ、長さ数百mmのもの
を用いればよい。
【0062】
気化管20の下流側端はMOCVD装置の反応管に接続されるが、本例では気化管20に酸素供給手段として酸素供給口25を設けてあり、所定の温度に加熱された酸素をキャリアガスに混入せしめ得るようにしてある。
【0063】
まず、気化器への原料溶液の供始について述べる。
図3に示すように、原料供給口6には、それぞれ、リザーブタンク32a,32b,32c,32dが、マスフローコントローラ30a,30b,30c,30d及びバルブ31a,31b,31c,31dを介して接続されている。
【0064】
また、それぞれのリザーブタンク32a,32b,32c,32dにはキャリアガスボンベ33に接続されている。
【0065】
リザーブタンクの詳細を図4に示す。
【0066】
リザーブタンクには、原料溶液が充填されており、それぞれのリザーバータンク(内容積300cc、SUS製に例えば1.0〜3.0kgf/cmのキャリアガス(例えば不活性ガスAr,He,Ne)を送り込む。リザーブータンク内はキャリアガスにより加圧されるため、原料溶液は溶液と接している側の管内を押し上げられ液体用マスフローコントロ―ラ(STEC製、フルスケール流量0.2cc/min)まで圧送され、ここで流量が制御され、気化器の原料供給入口29から原料供給孔6に輸送される。
【0067】
マスフローコントロ―ラで一定流量に制御されたキャリアガスによって反応部へ輸送される。同時にマスフロ―コントロ―ラ(STEC製、フルスケール流量2L/minで―定流量に制御された酸素(酸化剤)も反応部へ輸送する。
【0068】
原料溶液は、溶剤であるTHFその他の溶媒に常温で液体または固体状の有機金属錯体を溶解しているため、そのまま放置しておくとTHF溶剤の蒸発によって有機金属錯体が析出し、最終的に固形状になる。したがって原液と接触した配管内が、これによって配管の閉塞などを生ずることが想定される。よって配管の閉塞を抑制するためには、成膜作業終了後の配管内および気化器内をTHFその他の溶媒で洗浄すればよいと考え、洗浄ラインを設けてある。洗浄は、原料容器交換作業も含め容器出口側より気化器までの区間とし、各作業に適合した部分を溶剤にて洗い流すものである。
【0069】
バルブ31b,31c,31dを開とし、リザーブタンク32b,32c,32d内にキャリアガスを圧送した。原料溶液は、マスフローコントローラ(STEC製フルスケール流量0.2cc/min)まで圧送され、ここで流量が制御され、溶液原料を気化器の原料供給孔6に輸送される。
【0070】
一方、キャリアガスを気化器のガス導入口から導入した。供給口側の最大圧力は3kgf/cm以下とすることが好ましく、このとき通過可能な最大流量はおよそ1200cc/minであり、ガス通路2の通過流速は百数十m/sまで達する。
【0071】
気化器のガス通路2を流れるキャリアガスに原料供給孔6から原料溶液が導入すると原料溶液はキャリアガスの高速流により剪断され、超微粒子化される。その結果原料溶液はキャリアガス中に超微粒子状態で分散する。原料溶液が超微粒子状態で分散したキャリアガス(原料ガス)は高速のまま気化部22に霧化され放出される。ガス通路と原料供給孔が形成する角度を最適化する。キャリア流路と原料溶液導入口が鋭角(30度)の場合、溶液はガスに引かれる。90度以上であれば、溶液はガスに押される。溶液の粘度・流量から、最適な角度が決まる。粘度や流量が大きい場合は、より鋭角にすることによって、溶液が円滑に流れる。ヘキサンを溶媒に用いて、SBT膜を形成する場合、粘度・流量ともに小さいため、約84度が好ましい。
【0072】
一定流量に制御された3種の原料溶液は、それぞれの原料供給入口29を介して原料供給孔6からガス通路2に流入し、高速気流となったキャリアガスとともにガス通路を移動した後、気化部22に放出される。分散部8においても、原料溶液は気化部22からの熱によって加熱されTHFなどの溶剤の蒸発が促進されるため、原料供給入口29から原料供給孔6までの区間及びガス通路2の区間を水その他の冷媒によって冷却する。
【0073】
分散部8から放出された、キャリアガス中に微粒子状に分散した原料溶液は、ヒータ21によって所定の温度に加熱された気化管20内部を輸送中に気化が促進されMOCVDの反応管に到達する直前に設けられた酸素供給口25からの所定の温度に加熱された酸素の混入によって混合気体となり、反応管に流入する。なお、本例では、成膜に代え気化ガスの反応形態の解析を行うことにより評価した。
【0074】
排気口42から真空ポンプ(図示せず)を接続し、約20分間の減圧操作により反応管44内の水分などの不純物を取り除き、排気口42下流のバルブ40を閉じた。
【0075】
気化器に冷却水を約400cc/minで流した。一方、3kgf/cmのキャリアガスを495cc/minで流し、反応管44内をキャリアガスで十分満たした後、バルブ40を開放した。ガス出口7における温度は67℃より低かった。
【0076】
気化管20内を200℃、反応管44からガスパック46までの区間及びガスパックを100℃、反応管44内を300℃〜600℃に加熱した。
【0077】
リザーブタンク内をキャリアガスで加圧し、マスフローコントローラで所定の液体を流した。
【0078】
Sr(DPM)、Bi(C、Ta(OC、THFをそれぞれ0.04cc/min、0.08cc/min、0.08cc/min、0.2cc/minの流量で流した。
【0079】
20分後ガスパック46直前のバルブを開きガスパック46内に反応生成物を回収し、ガスクロマトグラフにて分析し、検出された生成物と反応理論に基づき検討した反応式中の生成物が一致するかどうかを調べた。その結果、本例においては、検出された生成物と反応理論に基づき検討した反応式中の生成物はよく一致した。
【0080】
また、分散部本体1のガス出口7側の外面における炭化物の付着量を測定した。その結果、炭化物の付着量はごくわずかであり、図14に示す装置を用いた場合よりさらに少なかった。
【0081】
なお、溶媒に膜原料となる金属を混合あるいは溶解させ原料溶液とした場合、該原料溶液は、金属は錯体となり、液体/液体状態(完全溶媒液)となるのが一般的である。しかし、本発明者は原料溶液を綿密に調べたところ、必ずしも金属錯体はバラバラの分子状態のものとはならず、金属錯体そのものが溶媒中で、1〜100nmの大きさの微粒子として存在する場合もあり、固体/液体状態として一部存在する場合もあることを知見した。気化時の目詰まりはかかる状態の原料溶液の時に特に生じやすいと考えられるが、本発明の気化器を用いた場合には、かかる状態の原料溶液の場合であっても目詰まりは生じない。
【0082】
また、原料溶液の保存する溶液中では、微粒子がその重力のために底部に沈降しやすい。そこで、底部を加熱(あくまでも溶媒の蒸発点以下に)することにより保存溶液内において対流を生じせしめ微粒子を均一分散せしめることが目詰まり防止上好ましい。また、底部を加熱するとともに容器上面の側面は冷却することがより好ましい。もちろん溶剤の蒸発温度以下の温度で加熱を行う。
なお、気化管上部領域の加熱熱量が下流領域の加熱熱量よりも大きくなるように加熱ヒータが設定ないし制御することが好ましい。すなわち、分散部から、水冷されたガスが噴出するので、気化管上部領域では、加熱熱量を大きくし、下流領域では、加熱熱量を小さく設定あるいは制御する加熱ヒータを設けることが好ましい。
【0083】
(実施例2)
図5に実施例2に係るMOCVD用気化器を示す。
本例では、輻射防止部102の外周に冷却水通路106を形成し、また、接続部23の外周には冷却手段50を設け、輻射防止部102の冷却を行った。
【0084】
また、細孔101の出口周辺にくぼみ107を設けた。
【0085】
他の点は実施例1と同様とした。
【0086】
本例においては、検出された生成物と反応理論に基づき検討した反応式中の生成物は実施例1の場合よりも良好な一致が見られた。
【0087】
また、分散部本体1のガス出口7側の外面における炭化物の付着量を測定した結果は、炭化物の付着量は実施例1の場合の約1/3倍であった。
【0088】
(実施例3)
図6に実施例3に係るMOCVD用気化器を示す。
【0089】
本例では、輻射防止部102にテーパー51を設けてある。かかるテーパー51のためその部分のデッドゾーンが無くなり、原料の滞留を防止することができる。
他の点は実施例2と同様とした。
【0090】
本例においては、検出された生成物と反応理論に基づき検討した反応式中の生成物は実施例2の場合よりも良好な一致が見られた。
【0091】
また、分散部本体1のガス出口7側の外面における炭化物の付着量を測定した結果は、炭化物の付着量は皆無に近かった。
【0092】
(実施例4)
図7にガス通路の変形実施例を示す。
【0093】
図7(a)ではロッド10の表面に溝70を形成してあり、ロッド10の外径を分散部本体1の内部にあけた孔の内径とほぼ同一としてある。従って、ロッド10を孔にはめ込むだけで、偏心することなく孔内にロッド10を配置することができる。また、ビスなどを用いる必要もない。この溝70がガス通路となる。
【0094】
なお、溝70はロッド10の長手方向中心軸と平行に複数本形成してもよいが、ロッド10の表面に螺旋状に形成してもよい。螺旋状の場合にはより均一性に優れた原料ガスを得ることができる。
【0095】
図7(b)はロッド10の先端部に混合部を設けた例である。先端部の最も大きな径を分散部本体1の内部にあけた孔の内径とほぼ同一としてある。ロッド先端部と孔の内面とで形成される空間がガス通路となる。
【0096】
なお、(a),(b)に示した例は、ロッド10の表面に加工を施してた例であるが、ロッドとして断面円形のものを用い、孔の方に凹部を設けてガス通路としてもよいことはいうまでもない。なお、ロッドの設置は、例えば、JISに規定するH7×h6〜JS7程度で行うことが好ましい。
【0097】
(実施例5)
図8に基づき実施例5を説明する。
【0098】
本例のMOCVD用気化器は、
内部に形成されたガス通路と、
ガス通路に加圧されたキャリアガス3を導入するためのガス導入口4と、
ガス通路に原料溶液5a,5bを供給するための手段と、
原料溶液5a、5bを含むキャリアガスを気化部22に送るためのガス出口7と、
を有する分散部8と、
一端がMOCVD装置の反応管に接続され、他端が前ガス出口7に接続された気化管20と、
気化管20を加熱するための加熱手段と、
を有し、
分散部8から送られてきた、原料溶液を含むキャリアガスを加熱して気化させるための気化部22と、
を有し、
分散部8は、円筒状中空部を有する分散部本体1と、円筒状中空部の内径より小さな外径を有するロッド10と、
を有し、
ロッド10の外周の気化器22側に1又は2以上の螺旋状の溝60を有し、
ロッド10は該円筒状中空部に挿入され、
ガス出口7の外側に、細孔101を有し、気化器22側に向かい内径がテーパー状に広がる輻射防止部101を設けてある。
【0099】
高速のキャリアガス3が流れるガス通路に原料溶液5が供給されると、原料溶液は剪断・霧化される。すなわち、液体である原料溶液は、キャリアガスの高速流により剪断され、粒子化される。粒子化した原料溶液は粒子状態でキャリアガス中に分散する。この点は、実施例1と同様である。
【0100】
なお、剪断・霧化を最適に行うためには、次ぎの条件が好ましい。
原料溶液5の供給は、0.005〜2cc/minで行うことが好ましく、0.005〜0.02c/minで行うことがより好ましく、0.1〜0.3cc/minで行うことがさらに好ましい。複数の原料溶液(溶剤を含む)を同時に供給する場合には、そのトータル量である。
【0101】
また、キャリアガスは、10〜200m/secの速度で供給することが好ましく、100〜200m/secがより好ましい。
原料溶液流量とキャリアガス流量は相関関係が有り、最適なせん断・霧化を実現し、超微粒子ミストが得られる流路断面積と形状を選択することは言うまでのない。
【0102】
本例では、ロッド10の外周には、螺旋状の溝60が形成してあり、かつ、分散部本体1とロッド10との間には隙間空間が存在するため、霧化状態となった原料溶液を含むキャリアガスはこの隙間空間を直進流として直進するとともに、螺旋状の溝60に沿って旋回流を形成する。
【0103】
このように、直進流と旋回流とが併存する状態において霧化した原料溶液はキャリアガス中に一様に分散することを本発明者は見いだしたのである。直進流と旋回流とが併存すると何故に一様の分散が得られるのかの理由は必ずしも明らかではないが、次のように考えられる。旋回流の存在により、流れに遠心力が働き、二次の流れが生じる。この二次の流れにより、原料及びキャリアガスの混合が促進される。すなわち、旋回流の遠心効果により流れに対して直角方向に2次的な派生流が生じ、これによって霧化した原料溶液がキャリアガス中により一様に分散するものと思われる。
【0104】
以下、本実施例をより詳細に説明する。
本実施例では、一例として4種類の原料溶液5a,5b,5c,5d(5a,5b,5cは有機金属原料、5dはTHFなどの溶剤原料)をガス通路に供給するように構成されている。
【0105】
それぞれ霧化し、超微粒子状となった原料溶液を含むキャリアガス(「原料ガス」という)を混合するために、本例では、ロッド10の原料供給孔6に対応する部分の下流部分に螺旋状の溝のない部分を設けてある。この部分はプレミキシング部65となる。プレミキシング部65において、3種類の有機金属の原料ガスはある程度混合され、さらに、下流の螺旋構造の領域において完全な混合原料ガスとなる。均一な混合原料ガスを得るためには、このミキシング部65の長さは、5〜20mmが好ましく、8〜15mmがより好ましい。この範囲外の場合、3種類の有機金属の原料ガスのうち1種類のみの濃度が高い混合原料ガスが気化部22に送られてしまうことがある。
【0106】
本例では、ロッド10の上流側の端部66には、平行部67とテーパ部58とを設けてある。分散部本体1の円筒中空部にも平行部67とテーパー部58に対応した、ロッド10の平行部67の外径と同じ内径の平行部と、ロッド10のテーパーと同じテーパのテーパ部とを設けてある。従って、ロッド10を図面上左側から挿入すれば、ロッド10は分散部本体1の中空部内に保持される。
【0107】
本例では、実施例1の場合とは異なり、ロッド10にテーパを設けて保持しているため、3kgf/cm2よりも高圧のキャリアガスを用いてもロッド10の移動を防止することができる。すなわち、図8に示す保持技術を採用すれば、3kg/cm以上の圧力でキャリアガスを流すことができる。その結果、ガス通路の断面積を小さくして、少量のガスでより高速のキャリアガスの供給が可能となる。すなわち、50〜300mm/sの高速のキャリアガスの供給も可能となる。前記した他の実施例においてもこの保持技術を採用すれば同様である。
【0108】
なお、ロッド10の原料供給孔6に対応する部分には、図9(b)に示すように、キャリアガスの通路として溝67a,67b,67c,67dを形成しておく。各溝67a,67b,67c,67の深さとしては、0.005〜0.1mmが好ましい。0.005mm未満では溝の成形加工が困難となる。また、0.01〜0.05がより好ましい。この範囲とすることにより目詰まりなどの発生がなくなる。また、高速流が得られやすい。
【0109】
ロッド10の保持、ガス通路の形成については、実施例1における図1に示す構成その他の構成を採用してもかまわない。
【0110】
螺旋状の溝60は、図9(a)に示すように、1本でもよいが、図10に示すように複数本でもよい。また、螺旋状の溝を複数本形成する場合には、クロスさせてもよい。クロスさせた場合には、より均一に分散した原料ガスが得られる。但し、各溝に対するガス流速は10m/sec以上が得られる断面積とする。
【0111】
螺旋状の溝60の寸法・形状には特に限定されず、図9(c)に示した寸法・形状が一例としてあげられる。
【0112】
なお、本例では、図8に示すとおり、ガス通路は、冷却水18により冷却している。
【0113】
また、本例では、分散部22の入口手前において、拡張部69を独立して設けてあり、この拡張部に長手の輻射防止部102が配置してある。
輻射防止部のガス出口7側は細孔101が形成され、気化器側に向かい内径がテーパー状に広がっている。
【0114】
この拡張部69は実施例3において、述べた原料ガスの滞留を防止するための部分でもある。もちろん、拡張部69を独立して設ける必要はなく、図6に示したように一体化した構成としてもよい。
【0115】
拡張部69における拡張角度θとしては、5〜10度が好ましい。θがこの範囲内の場合、旋回流を壊すことなく原料ガスを分散部に供給することができる。また、θがこの範囲内の場合、拡大による流体抵抗が最小となり、また、デッドの存在が最小となり、デッドゾーンの存在による渦流の存在を最小にすることができる。なお、θとしては、6〜7度がより好ましい。なお、図6に示した実施例の場合においても好ましいθの範囲は同様である。
【0116】
(実施例6)
図8に示す装置を用い、次ぎなる条件で原料溶液及びキャリアガスの供給を行い、原料ガスにおける均一性を調べた。
【0117】
Figure 2004273766
【0118】
気化装置としては図8に示す装置を用いた。ただ、ロッドとしては、図9に示すロッドにおいて螺旋溝が形成されていないロッドを用いた。
【0119】
原料溶液を原料供給孔6から供給するとともにキャリアガスをその速度を各種変化させた。なお、原料供給孔からは、溝67aにはSr(DPM)、溝67bにはBi(C、溝67cにはTa(OC、溝67dにはTHFなどの溶剤をそれぞれ供給した。
【0120】
気化部における加熱を行わず、ガス出口7において原料ガスを採取し、採取した原料ガスにおける原料溶液の粒子径の測定を行った。
【0121】
その結果を相対値(図12(a)に示す従来例に係る装置を用いた場合を1とする)として図11に示す。図11からわかるように、流速を50m/s以上とすることにより分散粒子径は小さくなり、100m/s以上とすることにより分散粒子径はさらに小さくなる。ただ、200m/s以上としても分散粒子径は飽和する。従って、100〜200m/sがより好ましい範囲である。
【0122】
(実施例7)
本例では、ロッドとして螺旋溝を形成したロッドを使用した。
【0123】
他の点は実施例6と同様とした。
【0124】
実施例6では、溝の延長部において、溝に供給された原料溶液の濃度が濃かった。すなわち、すなわち、溝67aの延長部では、Sr(DPM)が、溝67bの延長部ではBi(Cが、溝67cの延長部ではTa(OCがそれぞれ他の濃度が高かった。
【0125】
しかし、本例では、螺旋溝の端において得られた混合原料ガスはどの部分においても各有機金属原料が均一であった。
【0126】
(実施例8)
図12及び図13に実施例8を示す。
【0127】
従来、酸素の導入は、図2に示すように、気化部22の下流においてのみ行われていた。従来の技術において形成された膜中に炭素が大量に含有されていることは従来の技術の欄において述べて通りである。また、原料における組成配分と成膜された膜中における組成配分とにはズレが生じていた。すなわち、原料を化学量論比通りの組成比に調整して気化、成膜を行った場合、実際に成膜された膜は化学量論比からずれた組成の膜となってしまっていた。特に、ビスマスが殆んど含有されない(0.1at%程度)現象が観察された。
【0128】
本発明者はこの原因が酸素の導入位置に関係することを見いだした。すなわち、図20に示すように、酸素をガス導入口4及び噴出口直近二次酸素供給口200及び酸素導入口(一次酸素供給口)25からキャリアガスとともに導入すれば、形成された膜中の組成は、原料溶液中の組成との間の組成比のずれは極めて小さなものとすることができることがわかった。
【0129】
なお、予めキャリアガスと酸素とを混合しておき、その混合ガスをガス導入口4から導入してもよい。
【0130】
(実施例9)
図19、20に示す気化器、図21に示すCVD装置を用いて、SBT膜を形成し、さらに分極特性等を評価した。
【0131】
具体的には気化器の条件及び反応室の条件は下記のように制御し、酸化したシリコン基板上に、白金200nmを形成した基板上に、SBT薄膜を形成した。
具体的条件:
ヘキサエトキシ・ストロンチウムタンタルSr[Ta(OC 0.1モル溶液(溶媒:ヘキサン)
0.02ml/min.
トリ−t−アミロキシドビスマス Bi(O−t−C11 0.2モル溶液(溶媒:ヘキサン) 0.02ml/min.
第一キャリア Ar=200sccm(ガス導入口4から入れる)
第一キャリア O= 10sccm(ガス導入口4から入れる)
第2キャリア Ar= 20sccm(ガス導入口200から入れる)
= 10sccm(ガス導入口200から入れる)
反応酸素 O=200sccm(分散噴出部 下部25から入れる)
反応酸素温度 216℃(分散噴出部 下部から入れる前に別途設けたヒータで温度制御)
ウエーハ温度 475℃
空間温度 299℃
空間距離 30mm
シャワーヘッド温度201℃
反応圧力 1 Torr
成膜時間 20分
その結果
SBT膜厚さ 約300nm (堆積速度 約150nm/min.)
Figure 2004273766
形成された膜中の組成は、原料溶液中の組成との間の組成比のずれは小さく、堆積速度も従来比 約5倍になった。少量の酸素をガス導入口4からキャリアガスとともに導入する効果は極めて大きい事がわかる。カーボン含有量も3.5at%と少ない。
【0132】
反応酸素200cc/min.を、分散噴出部下部から入れる前に別途設けたヒータで正確に温度制御(216℃)したため、気化した、有機金属化合物の再凝縮・昇華(固化)を抑制する効果が大きい事が、気化管下部の汚れが無くなった事から確認できた。
【0133】
このSBT薄膜形成後、酸素雰囲気で750℃、30分の結晶化処理を行い、上部電極を形成して測定評価した所、優れた結晶化特性と分極特性を示した。これを図17,18 に示した。
【0134】
ガス導入口4または噴出口直近の一次酸素供給口から酸素等の酸化性ガスを導入しさえすれば、図2に示すように、気化部の下流において同時に酸素を導入して酸素の量を適宜制御することが、より組成比のズレを小さくし、また、炭素含有量を減少させる上から好ましい。
【0135】
形成された膜中における炭素の含有量を従来の5%〜20%に減少させることができる。
【0136】
図20を用いて、SBT薄膜堆積プロセスの実施例を説明する。
バルブ2を開き、バルブ1を閉じて、反応チャンバーを高真空に引き、数分後にロードロックチャンバーから、反応チャンバーへウエーハを移載する。
この時 気化器には、
ヘキサエトキシ・ストロンチウムタンタルSr[Ta(OC 0.1モル溶液(溶媒:ヘキサン)
0.02ml/min.
トリ−t−アミロキシドビスマス Bi(O−t−C11 0.2モル溶液(溶媒:ヘキサン) 0.02ml/min.
第一キャリア Ar=200sccm(ガス導入口4から入れる)
第一キャリア O= 10sccm(ガス導入口4から入れる)
が流れており、バルブ2及び圧力自動調整弁を経由して、真空ポンプへ引かれている。
この時、圧力計は、圧力自動調整弁によって、4Torrに制御される。
ウエーハを移載し数分後、温度が安定したら、
バルブ1を開き、バルブ2を閉じて、反応チャンバーへ下記のガスを流して、堆積を開始する。
ヘキサエトキシ・ストロンチウムタンタルSr[Ta(OC 0.1モル溶液(溶媒:ヘキサン)
0.02ml/min.
トリ−t−アミロキシドビスマス Bi(O−t−C11 0.2モル溶液(溶媒:ヘキサン) 0.02ml/min.
第一キャリア Ar=200sccm(ガス導入口4から入れる)
第一キャリア O= 10sccm(ガス導入口4から入れる)
第2キャリア Ar= 20sccm(ガス導入口200から入れる)
= 10sccm(ガス導入口200から入れる)
反応酸素 O=200sccm(分散噴出部 下部25から入れる)
反応酸素温度 216℃(分散噴出部下部から入れる前に別途設けたヒータで温度制御)
ウエーハ温度 475℃
反応圧力チャンバー圧力は、1Torrに制御する。
(記載されていない圧力自動調整弁による)
所定の時間(此処では20分)が経過したら、
バルブ2を開き、バルブ1を閉じて、堆積を終了する。
反応チャンバーを高真空に引いて反応ガスを完全に除去して、1分後にロードロックチャンバーへウエーハを取り出す。
キャパシタ構造
Pt(200nm)/CVDSBT(300nm)/Pt(175nm)/Ti(30nm)/SiO/Si
キャパシタ作成プロセス
下部電極形成Pt(175nm)/TI(30nm) CVDSBT膜形成(300nm)
SBT膜結晶化処理(拡散炉アニール:ウエハ750℃、30min、O雰囲気)
上部電極形成Pt(200nm)
アニール:650℃、O2、30min
【0137】
従来 反応酸素(例。200sccm)は、室温状態で、気化管に入れていたため、
有機金属ガスが、冷却されて、気化管に付着・堆積していた。
気化部下部から供給する、反応酸素の温度制御を行う場合従来、ステンレス管(1/4−1/16inch 外形、長さ10−100cm)の外部にヒータを巻きつけて、ステンレス管外壁の温度を制御(例:219℃)していた。
ステンレス管外壁の温度(例:219℃)=内部を流れる酸素(流量200sccm)の温度と考えて居た。
ところが、酸素温度を微細な熱伝対で測定したら、上記例では、約35℃にしか、昇温されていなかった。
【0138】
そこで、加熱後の酸素温度を、直接微細な熱伝対で測定し、加熱ヒータ温度を制御して、酸素温度を正確に制御した。
管を流れる酸素等ガスを昇温することは容易ではなく、加熱管内に充填物をいれて、熱交換効率の向上を図り、加熱された酸素ガス温度を測定して加熱ヒータ温度を適正に制御した。
かかる制御のための手段が図20に示すヒートエクスチェンジャーである。
【0139】
(実施例10)
図14に実施例10を示す。
【0140】
前記実施例は、単一の原料溶液のそれぞれにガスを吹き付けることにより噴霧化し、その後噴霧化した原料溶液を混合するものであったが、本例は、複数の原料溶液を混合し、次いで、混合原料溶液を噴霧化するための装置である。
【0141】
本例は、原料溶液5a,5bを供給する複数の溶液通路130a,130bと、複数の溶液通路130a,130bから供給される複数の原料溶液5a,5bを混合する混合部109と、一端が混合部109に連通し、気化部22側となる出口017を有する供給通路110と、供給通路110内において、混合部109から出た混合原料溶液に、キャリアガスあるいは、キャリアガスと酸素との混合ガスを吹き付けるように配置されたガス通路120と、供給通路110内を冷却するための冷却手段とが形成されている分散器150と、
一端がMOCVD装置の反応管に接続され、他端が分散器150の出口107に接続された気化管と、気化管を加熱するための加熱手段2とを有し、前記分散器150から送られてきた、原料溶液を含むガスを加熱して気化させるための気化部22とを有し、
出口107の外側に細孔101を有する輻射熱防止材102が配置されている。
【0142】
本例では、混合しても反応が進行しない原料溶液に有効であり、一旦混合後噴霧化するため、噴霧化後混合する場合に比べ組成が正確となる。また、混合部109における混合原料溶液の組成を分析するための手段(図示せず)を設けておき、分析結果に基づき原料溶液5a,5bの供給量を制御すればより一層正確な組成を得ることが可能となる。
【0143】
また、本例では、ロッド(図1の10)を用いる必要がないため、ロッドを伝播した熱が供給通路110内を加熱するということがない。さらに、噴霧化後混合する場合に比べ供給通路110の断面積を小さくでき、ひいては出口107の断面積を小さくすることができるため輻射により供給通路110内を加熱するということも少ない。従って、輻射防止部102を設けずとも結晶の析出などを少なくすることができる。ただ、より一層結晶の析出などを防止したい場合は図14に示したように輻射防止部102を設けてもよい。
【0144】
なお、以上の実施例において、細孔は一つの例を示したがもちろん複数でもよい。また、細孔の径としては2mm以下が好ましい。複数設ける場合にはさらに小さい径とすることも可能である。
【0145】
また、以上の実施例において、キャリア流路と原料溶液導入口が鋭角(30度)の場合、溶液はガスに引かれる。90度以上であれば、溶液はガスに押される。従って,30〜90°が好ましい。具体的には、溶液の粘度・流量から、最適な角度が決まる。粘度が大きい場合や流量が大きい場合はより鋭角にすることによって、溶液が円滑に流れる。従って,実施にあたっては、粘度・流量に対応する最適角度を予め実験などにより求めておけばよい。
【0146】
また、以上の実施例において、シャワーヘッドとサセプターとの間の空間の距離を任意の距離に制御するための機構を設けることが好ましい。
【0147】
さらに、原料溶液の流量を制御するための液体マスフローコントローラを設けるとともに、該液体マスフローコントローラの上流側に脱気するための脱気手段を設けることが好ましい。脱気せず、マスフローコントローラに原料溶液を導入すると成膜された膜のばらつきが同一ウエハ上あるいは他のウエハ同士との間で生じる。ヘリウムなどを脱気後にマスフローコントローラに原料溶液を導入することにより上記膜厚のばらつきが著しく減少する。
【0148】
原料溶液およびヘリウム圧送容器及び液体マスフローコントローラーおよび前後の配管の温度を一定温度に制御するための手段を設けることによりより一層膜厚のばらつきを防止することができる。また、化学的に不安定な原料溶液の変質を防ぐこともできる。SBT薄膜を形成する際は、5℃〜20℃の範囲で、精密に制御する。特に12℃±1℃が望ましい。
【0149】
また、図22、23に示すようなシリコン基板等の基板表面へ所定のガスを吹き付け該基板表面へ表面処理を施す基板表面処理装置において、熱媒体の貫流の為の熱媒体入口320と接続された上流環301と、前記所定の熱媒体の熱媒体出口321と接続された下流環302と、前記上流環1と下流環2との間を互いに平行方向に接続し前記熱媒体の流路を形成する少なくとも2個の熱伝達路303a、303bとを有し、隣接する前記熱伝達路303a、303b間の前記上流環1から下流環302への流路方向を交互とし、前記ガスを所定の温度とするための熱媒体循環路が構成されたものとすることが好ましい。
【0150】
また、前記基板表面処理装置は、さらに、前記熱媒体循環路内の所定平面内であり、前記平行方向の前記熱媒体の流路の形成された平面内に前記熱媒体循環路と熱的に接続された熱変換板304を有し、該熱変換板304の前記平面内を前記熱媒体により略均一温度に熱することを可能とすることが好ましい。
【0151】
さらに、前記熱変換板304の前記平面内には、該平面の垂直方向へ前記所定のガスを通過させる複数の通気孔が形成され、該通気孔を通過する前記所定のガスを、前記平面内において略均一温度に熱することを可能とすることが好ましい。
【0152】
これにより、熱媒体循環路の隣接する熱伝達路間の上流環から下流環への流路方向を交互として構成される。このため、熱伝達路に隣接する領域の温度差が高/低/高/低・・・・と構成される。本構成により、熱変換板を均一に加熱、あるいは冷却することが可能となる。また、さらに、平行方向の熱媒体の流路の形成された平面内に熱媒体循環路と熱的に接続された熱変換板を有している。よって、この熱変換板の平面内を熱媒体により略均一温度に熱することを可能となる。
【0153】
(実施例11)
図27に示す装置は、帯状基体7420を連続的に送りながら該帯状基体7420の表面に成膜を行うための成膜装置において、該表面に対向させて、図25に示す気化装置を複数個7421a,7421b,…7421g設けてある。この気化装置は本発明に係る気化装置である。
いずれか1つの成膜装置を洗浄中に、他の成膜装置を稼動させ続ければ連続成膜が可能となる。特に、酸化物超伝導体の作成に好適である。例えば30分成膜し、洗浄に多くて5分を要するとすると、成膜時間は全体の(30/35=6/7)となる。従って、7台の気化装置7421a〜7421gを連続的に設けておけば、7421aにおいて30分の成膜を行っている間に7421b〜7421gを順次5分間洗浄が行われる。
【0154】
【発明の効果】
簡単に目詰まりを防止することが可能となる。
装置の目詰まりの進行状況を把握することが可能となる。
完全な目詰まりが生じる前に目詰まりの除去を装置の分解を伴うことなく行うことが可能となる。
【図面の簡単な説明】
【図1】実施例1に係るMOCVD用気化器の要部を示す断面図である。
【図2】実施例1に係るMOCVD用気化器の全体断面図である。
【図3】MOCVDのシステム図である。
【図4】リザーブタンクの正面図である。
【図5】実施例2に係るMOCVD用気化器の要部を示す断面図である。
【図6】実施例3に係るMOCVD用気化器の要部を示す断面図である。
【図7】(a),(b)ともに、実施例4に係り、MOCVD用気化器のガス通路の変形例を示す断面図である。
【図8】実施例5に係るMOCVD用気化器を示す断面図である。
【図9】実施例5に係るMOCVD用気化器に使用するロッドを示し、(a)は側面図(b)はX−X断面図、(c)はY−Y断面図である。
【図10】図9(a)の変形例を示す側面図である。
【図11】実施例6における実験結果を示すグラフである。
【図12】実施例8を示す側断面図である。
【図13】実施例8のガス供給システムを示す概念図である。
【図14】実施例9を示す断面図である。
【図15】直近の従来技術を示す断面図である。
【図16】(a),(b)ともに従来のMOCVD用気化器を示す断面図である。
【図17】SBT薄膜の結晶化特性を示すグラフである。
【図18】結晶化したSBT薄膜の分極特性を示すグラフである。
【図19】気化器の詳細図である。
【図20】気化器の全体図である。
【図21】気化器を用いるSBT薄膜CVD装置の例を示す図である。
【図22】成膜装置例を示す断面図である。
【図23】図22においても散られる熱媒体循環の構成を示す図である。
【図24】本発明の実施の形態に係る気化器を示す断面図である。
【図25】図24に示す気化器におけるガス通路の圧力変化を示すグラフである。
【図26】本発明が適用可能な気化器例を示す概念図である。
【図27】実施例11に係る成膜装置を示す概念図である。
【符号の説明】
1 分散部本体、
2 ガス通路、
3 キャリアガス、
4 ガス導入口、
5 原料溶液、
6 原料供給孔、
7 ガス出口、
8 分散部、
9a,9b,9c,9d ビス、
10 ロッド、
18 冷却するための手段(冷却水)、
20 気化管、
21 加熱手段(ヒータ)、
22 気化部、
23 接続部、
24 継手、
25 酸素導入手段(一次酸素(酸化性ガス)供給口、)、
29 原料供給入口、
30a,30b,30c,30d マスフローコントローラ、
31a,31b,31c,31d バルブ、
32a,32b,32c,32d リザーブタンク、
33 キャリアガスボンベ、
42 排気口、
40 バルブ、
44 反応管、
46 ガスパック、
51 テーパー、
70 溝、
101 細孔、
102 輻射防止部、
200 酸素導入手段(2次酸素(酸化性ガス)、キャリア供給口、)
301 上流環
302 下流環
303あ、303b 熱伝達路
304 熱変換板
304a ガス通気孔ガスノズル
306 排気口
308 オリフィス
312 基板加熱ヒータ
320 熱媒体入口
321 熱媒体出口
390 入熱媒体
391 出熱媒体
3100 シリコン基板

Claims (18)

  1. ガス通路の一端からキャリアガスを導入し、該ガス通路の他端から原料溶液を含むキャリアガスを気化部に送り気化させる気化装置であり、該ガス通路の一端に流量制御装置(MFC)を設けるとともに、該ガス通路内における圧力を検知するための手段(以下「圧力検知手段」という)を設けたことを特徴とする気化装置。
  2. 前記ガス通路内に堆積あるいは付着した物(以下「堆積物等」という)を溶解することが可能な薬液を、該ガス通路内に導入するための手段(以下「溶解薬液供給手段」という。)を設けたことを特徴とする請求項1記載の気化装置。
  3. 前記薬液は、前記原料溶液の溶媒であることを特徴とする請求項2記載の気化装置。
  4. 前記ガス通路は、該他端は、他の部分より細くなっていることを特徴とする請求項1乃至3のいずれか1項記載の気化装置。
  5. 前記他端の径は2mm以下であることを特徴とする請求項4記載の気化装置。
  6. 前記圧力検知手段からの信号を表示するための手段を設けたことを特徴とする請求項1乃至5のいずれか1項記載の気化装置。
  7. ガス通路の一端からキャリアガスを導入し、該ガス通路の他端から原料溶液を含むキャリアガスを気化部に送り気化させる気化方法であり、該ガス通路の一端に流量制御装置(MFC)を設けるとともに、該ガス通路内における圧力を検知しながら気化させることを特徴とする気化方法。
  8. 前記圧力が所定の値以上となったときに堆積物等を溶解することが可能な薬液を該ガス通路内に導入することを特徴とする請求項7記載の気化方法。
  9. 前記薬液は、前記原料溶液の溶媒であることを特徴とする請求項8記載の気化方法。
  10. 前記ガス通路は、該他端は、他の部分より細くなっていることを特徴とする請求項7乃至9のいずれか1項記載の気化方法。
  11. 前記他端の径は2mm以下であることを特徴とする請求項10記載の気化方法。
  12. 前記圧力を表示することを特徴とする請求項7乃至11のいずれか1項記載の気化方法。
  13. 請求項1乃至6のいずれか1項記載の気化装置を備えたことを特徴とする成膜装置。
  14. 前記成膜装置はMOCVD装置であることを特徴とする請求項13記載の成膜装置。
  15. 請求項7乃至12のいずれか1項記載の気化方法により気化させて成膜を行うことを特徴とする成膜方法。
  16. 前記成膜方法はMOCVD方法であることを特徴とする請求項15記載の成膜方法。
  17. 帯状基体を連続的に送りながら該帯状基体の表面に成膜を行うための成膜装置において、該表面に対向させて、請求項2乃至6のいずれか1項記載の気化装置を複数個設けたことを特徴とする成膜装置。
  18. 請求項17記載の成膜装置を用いた成膜方法であって、前記複数の気化器のいずれかの気化器において、溶解薬液供給手段をオンとし、他の気化器においては気化を行い続けて連続的に成膜を行うことを特徴とする成膜方法。
JP2003062577A 2003-03-07 2003-03-07 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法 Pending JP2004273766A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003062577A JP2004273766A (ja) 2003-03-07 2003-03-07 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US10/548,202 US20070166457A1 (en) 2003-03-07 2004-03-08 Vaporizer, film forming apparatus including the same, method of vaporization and method of forming film
PCT/JP2004/002969 WO2004079806A1 (ja) 2003-03-07 2004-03-08 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
EP04718432A EP1608005A4 (en) 2003-03-07 2004-03-08 SPRAYER, FILM FORMING DEVICE COMPRISING SUCH A SPRAYER, VAPORIZATION METHOD AND FILM FORMING METHOD
KR1020057016730A KR20050106509A (ko) 2003-03-07 2004-03-08 기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003062577A JP2004273766A (ja) 2003-03-07 2003-03-07 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法

Publications (1)

Publication Number Publication Date
JP2004273766A true JP2004273766A (ja) 2004-09-30

Family

ID=32959063

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003062577A Pending JP2004273766A (ja) 2003-03-07 2003-03-07 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法

Country Status (5)

Country Link
US (1) US20070166457A1 (ja)
EP (1) EP1608005A4 (ja)
JP (1) JP2004273766A (ja)
KR (1) KR20050106509A (ja)
WO (1) WO2004079806A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006108230A (ja) * 2004-10-01 2006-04-20 Utec:Kk Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
JP2008294147A (ja) * 2007-05-23 2008-12-04 Watanabe Shoko:Kk 気化装置、及び、気化装置を備えた成膜装置
WO2009025362A1 (ja) * 2007-08-23 2009-02-26 Tokyo Electron Limited 気化器、気化器を含む原料ガス供給システム及びこれを用いた成膜装置
JP2010180433A (ja) * 2009-02-03 2010-08-19 Wacom R & D Corp 気化器、この気化器を用いたmocvd用気化器、これら気化器若しくはmocvd用気化器に用いられるセンターロッド、及びキャリアガスの分散方法並びにキャリアガスの気化方法
JP2013258415A (ja) * 2013-07-24 2013-12-26 Watanabe Shoko:Kk 気化装置、及び、気化装置を備えた成膜装置
JP2015038995A (ja) * 2014-09-09 2015-02-26 株式会社渡辺商行 気化装置、及び、気化装置を備えた成膜装置

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060037539A1 (en) * 2002-05-29 2006-02-23 Masayuki Toda Vaporizer, various apparatuses including the same and method of vaporization
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6151943B2 (ja) * 2013-03-26 2017-06-21 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2771363B2 (ja) * 1991-09-26 1998-07-02 キヤノン株式会社 機能性堆積膜の連続的製造装置
JP3335492B2 (ja) * 1994-12-28 2002-10-15 三菱電機株式会社 薄膜の堆積装置
JPH11238726A (ja) * 1998-02-23 1999-08-31 Nissin Electric Co Ltd 液体原料供給装置
JP2002324794A (ja) * 2001-04-26 2002-11-08 Fujitsu Ltd 気相成長方法及び気相成長装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006108230A (ja) * 2004-10-01 2006-04-20 Utec:Kk Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
JP2008294147A (ja) * 2007-05-23 2008-12-04 Watanabe Shoko:Kk 気化装置、及び、気化装置を備えた成膜装置
US8486196B2 (en) 2007-05-23 2013-07-16 Kabushiki Kaisha Watanabe Shoko Vaporizing apparatus and film forming apparatus provided with vaporizing apparatus
US9644264B2 (en) 2007-05-23 2017-05-09 Kabushiki Kaisha Watanabe Shoko Evaporation method and film deposition method
WO2009025362A1 (ja) * 2007-08-23 2009-02-26 Tokyo Electron Limited 気化器、気化器を含む原料ガス供給システム及びこれを用いた成膜装置
JP2010180433A (ja) * 2009-02-03 2010-08-19 Wacom R & D Corp 気化器、この気化器を用いたmocvd用気化器、これら気化器若しくはmocvd用気化器に用いられるセンターロッド、及びキャリアガスの分散方法並びにキャリアガスの気化方法
JP2013258415A (ja) * 2013-07-24 2013-12-26 Watanabe Shoko:Kk 気化装置、及び、気化装置を備えた成膜装置
JP2015038995A (ja) * 2014-09-09 2015-02-26 株式会社渡辺商行 気化装置、及び、気化装置を備えた成膜装置

Also Published As

Publication number Publication date
EP1608005A4 (en) 2008-11-05
WO2004079806A1 (ja) 2004-09-16
US20070166457A1 (en) 2007-07-19
EP1608005A1 (en) 2005-12-21
KR20050106509A (ko) 2005-11-09

Similar Documents

Publication Publication Date Title
JP2004273766A (ja) 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
JP4986163B2 (ja) Mocvd用気化器及び成膜装置
EP1492160A1 (en) Vaporizer, various devices using the same, and vaporizing method
JP4391413B2 (ja) 気化器、分散器、成膜装置、及び、気化方法
WO2003079421A1 (fr) Procede de depot de couche mince cvd
JP5016416B2 (ja) 気化器及び気化方法
JP2008211206A (ja) 強誘電体薄膜・金属薄膜又は酸化物薄膜及びその製造方法・製造装置並びに該薄膜を用いた電子・電気デバイス
JP4238239B2 (ja) 気化方法
JP2008205506A (ja) 気化器及びそれを用いた各種装置並びに気化方法
JP5542103B2 (ja) 気化器
JP5185726B2 (ja) 気化器、薄膜形成装置及びmocvd装置
JP3987465B2 (ja) 気化器
JP6014829B2 (ja) 気化器
JP2007258733A (ja) 気化方法及び成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081014

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081112