KR20050106509A - 기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법 - Google Patents

기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법 Download PDF

Info

Publication number
KR20050106509A
KR20050106509A KR1020057016730A KR20057016730A KR20050106509A KR 20050106509 A KR20050106509 A KR 20050106509A KR 1020057016730 A KR1020057016730 A KR 1020057016730A KR 20057016730 A KR20057016730 A KR 20057016730A KR 20050106509 A KR20050106509 A KR 20050106509A
Authority
KR
South Korea
Prior art keywords
vaporization
gas
raw material
material solution
film forming
Prior art date
Application number
KR1020057016730A
Other languages
English (en)
Inventor
히사요시 야모토
가즈야 아쿠토
겐 나가오카
히토시 고바야시
마사후미 쇼지
미츠루 후카가와
Original Assignee
가부시키가이샤 와타나베 쇼코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 와타나베 쇼코 filed Critical 가부시키가이샤 와타나베 쇼코
Publication of KR20050106509A publication Critical patent/KR20050106509A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Abstract

장치의 막힘의 진행 상황을 파악하는 것이 가능한 기화 장치 및 기화 방법을 제공하는 것을 목적으로 한다. 완전한 막힘이 생기기 전에 막힘의 제거를, 장치의 분해를 수반하지 않고, 실시하는 것이 가능한 기화 장치 및 기화 방법을 제공하는 것을 목적으로 한다.
가스 통로의 일단으로부터 캐리어 가스를 도입하고, 그 가스 통로의 타단으로부터 원료 용액을 함유하는 캐리어 가스를 기화부에 보내어 기화시키는 기화 장치로서, 그 가스 통로의 일단에 유량 제어 장치 (MFC) 를 형성함과 함께, 그 가스 통로 내에서의 압력을 검지하기 위한 수단을 형성한 것을 특징으로 한다.
상기 가스 통로 내에 퇴적 또는 부착한 것을 용해하는 것이 가능한 약액을, 그 가스 통로 내에 도입하기 위한 수단을 형성한 것을 특징으로 한다.

Description

기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화 방법 및 막형성 방법{VAPORIZER, FILM FORMING APPARATUS INCLUDING THE SAME, METHOD OF VAPORIZATION AND METHOD OF FORMING FILM}
본 발명은, 예를 들어, MOCVD 등의 막형성 장치에 바람직하게 사용되는 기화기 및 기화 방법 그리고 막형성 장치 그 밖의 각종 장치에 관한 것이다.
DRAM 의 개발에 있어서 문제가 되는 것은, 미세화에 따른 기억 커패시턴스이다. 소프트 에러 등의 점에서는 커패시턴스는 전 세대와 동일한 정도가 요구되기 때문에 어떠한 대책을 필요로 하고 있다. 이 대책으로서 1M 까지의 셀 구조는 플레너 구조였던 것이, 4M 부터 스택 구조, 트렌치 구조로 칭해지는 입체 구조가 도입되어 커패시터 면적의 증가를 도모하였다. 또한, 유전막도 기판 (Si) 의 열산화막으로부터 폴리 (Si) 상에서 열산화막과 CVD 질화막을 적층하는 막 (이 적층된 막을 일반적으로 ON 막이라고 함) 이 이용되었다. 16 MDRAM 에서는, 또한, 용량에 기여하는 면적을 증가시키기 위해서, 스택형에서는 측면을 이용하는 입체형, 플레이트의 이면도 이용하는 핀형 등이 도입되었다.
그러나, 이러한 입체 구조에서는 프로세스의 복잡화에 의한 공정수의 증가 및 단차의 증대에 의한 수율의 저하가 문제시되어, 256M 비트 이후의 실현은 곤란하다고 되어 있다. 그로 인해 현재의 DRAM 의 구조를 바꾸지 않고 추가로 집적도를 증가시키기 위한 하나의 방도로서, 커패시턴스의 유전체는 높은 유전율인 것으로 전환해 가는 방법이 안출되었다. 그리고, 유전율이 높은 유전체 박막으로서 Ta2O5, Y2O3, HfO2 등이 고유전율 단금속 상유전체 산화물의 박막이 우선 주목되었다. 각각의 비유전율은 Ta2O5 가 28, Y2O3 가 16, HfO2 가 24 정도이고, SiO2 의 4∼7 배이다.
그러나 256 MDRAM 이후에서의 적용에는, 입체 커패시터 구조가 필요하다. 이들의 산화물보다 더욱 높은 비유전율을 갖고, DRAM 으로의 적용이 기대되는 재료로서, (BaxSr1-x)TiO3, Pb(ZryTi1-y)O3, (PbaL1-a)(ZrbTi1-b)O3 의 3 종류가 유력시되고 있다.
또한, 초전도 재료와 매우 유사한 결정 구조를 가지는 Bi 계의 층상 구조는 고유전율을 갖고, 강유전체 특성의 자기 분극을 가지며, 비휘발성 메모리로서 우수한 점으로부터 최근 크게 주목되고 있다.
일반적으로 SrBi2TaO9 강유전체 박막 형성은, 실용적이고, 또한, 장래성이 있는 MOCVD (유기 금속 기상 성장) 법으로 실시되고 있다.
강유전체 박막의 원료는, 예를 들어, 3 종류의 유기 금속 착물 Sr(DPM)2, Bi(C6H5)3 및 Ta(OC2H5)5 이고, 각각 THF (테트라히드로푸란), 헥산 그 밖의 용제에 녹여, 원료 용액으로서 사용되고 있다. Sr(Ta(OEt)6)2 나, Bi(OtAm)3 도 헥산 그 밖의 용제에 녹여, 원료 용액으로서 사용되고 있다. 또, DPM은 디비발로이메탄의 약자이다.
각각의 재료 특성을 표 1 에 나타낸다.
강유전체 박막의 원재료의 특성
비점(℃)/압력(mmHg) 융점(℃)
Sr(DPM)2Bi(C6H5)3Ta(OC2H5)5THFSr(Ta(OEt)6)2Bi(OtAm)3 231/0.1130/0.1118/0.167176/0.187/0.1 2108022-10913090
MOCVD 법에 사용하는 장치는, SrBi2TaO9 박막 원료를 기상 반응 및 표면 반응시켜 막형성을 실행시키는 반응부, SrBi2TaO9 박막 원료 및 산화제를 반응부에 공급하는 공급부로 구성된다.
그리고, 공급부는 박막 원료를 기화시키기 위한 기화기가 형성되어 있다.
종래, 기화기에 관한 기술로서는, 도 16 에 나타내는 각 방법이 알려져 있다. 도 16 의 (a) 에 나타내는 것은 메탈 필터식이라고 칭해지는 것으로, 주위에 존재하는 기체와 SrBi2TaO9 강유전체 박막 원료 용액의 접촉 면적을 증가시킬 목적으로 사용된 메탈 필터에 소정의 온도로 가열된 원료 용액을 도입함으로써 기화를 실시하는 방법이다.
그러나, 이 기술에 있어서는, 수시간의 기화로 메탈 필터가 막힘을 일으키기 때문에, 장기 사용에 견딜 수 없다는 문제를 가지고 있다. 그 원인은, 용액이 가열되어 기화 온도가 낮은 것부터 증발하기 때문이라고 본 발명자는 추측하였다.
도 16 의 (b) 는 원료 용액에 30kgf/㎠ 의 압력을 가하여 10㎛ 의 세공으로부터 원료 용액을 방출시켜 팽창에 의해서 원료 용액을 기화시키는 기술이다.
그러나, 이 기술에 있어서는, 수시간의 사용에 의해 세공이 막혀, 역시 장기 사용에 견딜 수 없다는 문제를 가지고 있다.
또한, 원료 용액이 복수의 유기 금속 착물의 혼합 용액, 예를 들어, Sr(DPM)2/THF 와 Bi(C6H5)3/THF 와 Ta(OC2H5)5/THF 의 혼합 용액이고, 이 혼합 용액을 가열에 의해서 기화하는 경우, 증기압의 가장 높은 용제 (이 경우 THF) 가 재빠르게 기화하여, 가열면 상에는 유기 금속 착물이 석출 부착하기 때문에 반응부로의 안정한 원료 공급을 할 수 없다는 문제가 생긴다. 도 1 에 나타내는 이들의 방법은 모두 액체 또는 미스트 상태에 있어서 용제의 증발 또는 변화할 수 있는 열량이 더해진다.
또한, MOCVD 에서 균일성이 우수한 막을 얻기 위해서는, 원료 용액이 균일하게 분산된 기화 가스를 얻는 것이 요청된다. 그러나, 상기 종래 기술에서는 반드시 이러한 요청에 부응하고 있는 것은 아니다.
이러한 요청에 부응할 수 있도록, 본 발명자는, 별도로 다음과 같은 기술을 제공하고 있다.
즉, 도 15 에 나타내는 바와 같이, ① 내부에 형성된 가스 통로와, 그 가스 통로에 가압된 캐리어 가스를 도입하기 위한 가스 도입구와, 그 가스 통로에 원료 용액을 공급하기 위한 수단과, 원료 용액을 함유하는 캐리어 가스를 기화부로 보내기 위한 가스 출구와, 그 가스 통로를 냉각하기 위한 수단과,
기화부로부터의 복사열에 의해 원료 가스에 분산부 내에서 열에너지가 가해지지 않도록 냉각된 복사열 방지 분출부를 갖는 분산부와;
② 일단이 MOCVD 장치의 반응관에 접속되고, 타단이 상기 가스 출구에 접속된 기화관과,
그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부와; 기화부로부터의 복사열에 의해 원료 가스에 분산부 내에서 열에너지가 가해지지 않은 용도를 갖는 MOCVD 용 기화기이다.
이 기술은 종래에 비해 막힘이 매우 적고, 장기 사용이 가능하며, 또한, 반응부로의 안정적인 원료 공급이 가능한 MOCVD 용 기화기이다.
또한, 이 기술은, 미리 가열된 산소의 도입구가 기화부 하류에 형성되어 있다.
그러나, 이 기술에 의해서도 아직, 가스의 통로에 결정의 석출이 보이고, 막힘이 생기는 경우가 있다.
또한, 형성된 막 중에는 대량의 탄소 (30∼40at%) 가 함유되어 있다. 이 탄소를 제거하기 위해서는 막형성 후 고온에서 어닐을 실시할 (예: 800℃, 60분, 산소 분위기) 필요가 생긴다.
또한, 막형성을 실시하는 경우에 있어서는, 조성비의 편차가 크게 생긴다.
본 출원인은, 상기 과제를 해결하기 위한 기술을 특허 출원으로서 출원하고 있다. 즉, 그 출원에 있어서의 발명은, 다음의 목적을 가지고 있다. 막힘 등을 일으키는 일 없이 장기 사용이 가능하고, 또한, 반응부로의 안정적인 원료 공급이 가능한 기화기를 제공하는 것을 목적으로 한다.
본 발명은, 성장 (아즈데포) 상태에서도 막 중에서의 탄소의 함유량을 매우 적게 할 수가 있고, 막의 조성비를 정확히 제어하는 것이 가능한 기화기, 막형성 장치 그 밖의 각종 장치 및 기화 방법을 제공하는 것을 목적으로 한다.
본 발명은, 원료 용액이 균일하게 분산된 기화 가스를 얻을 수 있는 기화기 및 기화 방법을 제공하는 것을 목적으로 한다.
그런데, 상기 기술에 있어서도 아직 막힘 발생의 방지가 완전하다고는 말할 수 없고, 또한, 막형성 중에 있어서의 막힘의 진행 상황의 파악이 완전히는 실시되지 않는다.
또한, 완전히 막힘이 발생한 경우에는 그 제거가 곤란하고, 장치를 분해하여 막힘 상태의 제거를 실시한다.
본 발명은, 장치의 막힘의 진행 상황을 파악하는 것이 가능한 기화 장치 및 기화 방법을 제공하는 것을 목적으로 한다.
본 발명은, 완전한 막힘이 생기기 전에 막힘의 제거를, 장치의 분해를 수반하지 않고 실시할 수 있는 기화 장치 및 기화 방법을 제공하는 것을 목적으로 한다.
도 1 은 실시예 1 에 관계된 MOCVD 용 기화기의 요부를 나타내는 단면도이다.
도 2 는 실시예 1 에 관계된 MOCVD 용 기화기의 전체 단면도이다.
도 3 은 MOCVD 의 시스템도이다.
도 4 는 저장 탱크의 정면도이다.
도 5 는 실시예 2 에 관계된 MOCVD 용 기화기의 요부를 나타내는 단면도이다.
도 6 은 실시예 3 에 관계된 MOCVD 용 기화기의 요부를 나타내는 단면도이다.
도 7 의 (a), 도 7 의 (b) 모두, 실시예 4 에 관계된 것으로, MOCVD 용 기화기의 가스 통로의 변형예를 나타내는 단면도이다.
도 8 은 실시예 5 에 관계된 MOCVD 용 기화기를 나타내는 단면도이다.
도 9 는 실시예 5 에 관계된 MOCVD 용 기화기에 사용하는 로드를 나타내고, 도 9 의 (a) 는 측면도, 도 9 의 (b) 는 X-X 단면도, 도 9 의 (c) 는 Y-Y 단면도이다.
도 10 은 도 9 의 (a) 의 변형예를 나타내는 측면도이다.
도 11 은 실시예 6 에서의 실험 결과를 나타내는 그래프이다.
도 12 는 실시예 8 을 나타내는 측단면도이다.
도 13 은 실시예 8 의 가스 공급 시스템을 나타내는 개념도이다.
도 14 는 실시예 9 를 나타내는 단면도이다.
도 15 는 근래 종래 기술을 나타내는 단면도이다.
도 16 의 (a), 도 16 의 (b) 모두 종래의 MOCVD 용 기화기를 나타내는 단면도이다
도 17 은 SBT 박막의 결정화 특성을 나타내는 그래프이다.
도 18 은 결정화한 SBT 박막의 분극 특성을 나타내는 그래프이다.
도 19 는 기화기의 상세도이다.
도 20 은 기화기의 전체도이다.
도 21 은 기화기를 사용하는 SBT 박막 CVD 장치의 예를 나타내는 도면이다.
도 22 는 막형성 장치예를 나타내는 단면도이다.
도 23 은 도 22 에서 사용되는 열매체 순환의 구성을 나타내는 도면이다.
도 24 는 본 발명의 실시형태에 관계된 기화기를 나타내는 단면도이다.
도 25 는 도 24 에 나타내는 기화기에서의 가스 통로의 압력 변화를 나타내는 그래프이다.
도 26 은 본 발명이 적용 가능한 기화기 예를 나타내는 개념도이다.
도 27 은 실시예 11 에 관계된 막형성 장치를 나타내는 개념도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
1 : 분산부 본체 2 : 가스 통로
3 :캐리어 가스 4 : 가스 도입구
5 : 원료 용액 6 : 원료 공급구
7 : 가스 출구 8 : 분산부
9a, 9b, 9c, 9d : 비스 10 : 로드
18 : 냉각하기 위한 수단 (냉각수) 20 : 기화관
21 : 가열 수단 (히터) 22 : 기화부
23 : 접속부 24 : 이음매
25 : 산소 도입 수단 (일차 산소 (산화성 가스) 공급구)
29 : 원료 공급 입구
30a, 30b, 30c, 30d : 매스플로 컨트롤러
31a, 31b, 31c, 31d : 밸브 32a, 32b, 32c, 32d : 저장 탱크
33 : 캐리어 가스 봄베 42 : 배기구
40 : 밸브 44 : 반응관
46 : 가스팩 51 : 테이퍼
70 : 홈 101 : 세공
102 : 복사 방지부
200 : 산소 도입 수단 (2차 산소 (산화성 가스), 캐리어 공급구)
301 : 상류환 302 : 하류환
303a, 303b : 열전달로 304 : 열변환판
304a : 가스 통기 구멍 가스 노즐 306 : 배기구
308 : 오리피스 312 : 기판 가열 히터
320 : 열매체 입구 321 : 열매체 출구
390 : 입열 매체 391 : 출열 매체 3100 : 규소 기판
발명을 실시하기 위한 최선의 형태
도 24 에 본 발명의 실시형태를 나타낸다.
또한, 도 24 에 나타내는 기화기는 도 19 에 나타내는 기화기에 MFC 와 압력 검지 수단 (압력계) 을 형성한 것이다.
본 예의 기화기 (7400) 는, 가스 통로 (7403a, 7403b) 의 일단으로부터 캐리어 가스 (7402a, 7402b) 를 도입하여, 그 가스 통로 (7403a, 7403b) 의 타단 (출구; 7404) 으로부터 원료 용액을 함유하는 캐리어 가스를 기화부 (7405) 에 보내서 기화시키는 기화기이고, 가스 통로 (7403a, 7403b) 의 일단에 유량 제어 장치 (MFC; 7405a, 7405b) 를 형성함과 함께, 가스 통로 (7403a, 7403b) 내에서의 압력을 검지하기 위한 수단인 압력계 (7401a, 7401b) 를 형성하고 있다.
종래, 출구 (7404) 에서의 막힘의 발생 및 진행을 알 수 있는 단서는, 막형성 후에서의 막두께의 감소이었다. 즉, 기판 1 장당의 막형성 시간을 일정하게 하여 막형성을 실시한 경우, 막두께가 감소하기 시작한 시점에서 막힘이 발생한 것으로 생각하였다. 그러나, 막두께의 감소를 가져오는 원인은 막힘에 한정하되는 것이 아니다. 따라서, 막두께가 감소한 시점에서 장치를 분해하여 보더라도 막힘이 발생하지 않는 경우가 많다.
본 발명자는, 막힘이 생기는 상황을 정성껏 관찰한 바, 막힘의 발생은 가스 통로 내의 압력 변동을 가져온다는 것을 지견하였다. 그래서, 가스 통로 내의 압력을 MFC 로 제어해 둠과 함께, 가스 통로 내의 압력을 검지하면 퇴적물 등의 세정이 필요한 시점을 알 수 있다.
특히, 가스 통로 (7403a, 7403b) 내에서의 퇴적물 등을 용해하는 것이 가능한 약액 (7411) 을, 가스 통로 (7403a, 7403b) 내에 도입하기 위한 수단을 형성해두면 장치를 분해하지 않고 퇴적물 등의 제거를 실시할 수 있다. 본 발명자는, 약액 (7411) 을 도입함으로써 매우 용이하고, 또한 단시간에 퇴적물 등의 제거를 실시하는 것이 가능함을 발견한 것이다. 또한, 약액 (7411) 에 의한 세정 중에 있어서도 가스 통로 (7403a, 7403b) 의 압력을 압력계 (7401a, 7401b) 로 검지하면 세정의 종료점을 알 수 있다.
또한, 상기 약액 (7411) 은, 원료 용액 (7412) 의 용매를 사용해도 된다.
또한, 가스 통로 (7403a, 7403b) 의 타단 (출구; 7404) 은, 다른 부분보다 가늘게 해두는 것이 바람직하다. 특히 2mm 이하로 하는 것이 바람직하다. 가늘게 함으로써 퇴적물 등의 퇴적 또는 부착에 대하여 가스 통로 (7403a, 7403b) 의 압력 변동을 민감하게 감지하는 것이 가능해진다.
또한, 압력 검지 수단 (7401a, 7401b) 에서 얻어진 신호를 외부의 모니터에 표시하도록 해두면 용이하게 세정 필요시를 알 수 있다.
또한, 압력 검지 수단 (7401a, 7401b) 에서 얻어진 신호에 근거하여, 원료 용액 공급의 온ㆍ오프를 실시하는 원료 용액 밸브 (7407), 약액의 공급 온ㆍ오프를 실시하는 약액 밸브 (7406) 의 제어를 실시하도록 해두는 것이 바람직하다. 즉, 가스 통로 (7403a, 7403b) 의 압력이 증가하여 어느 일정한 값에 달한 경우에는, 원료 용액 밸브 (7407) 를 닫힘으로 하고, 약액 밸브 (7406) 가 열림이 되도록 자동 제어한다. 또한, 세정시에 가스 통로 (7403a, 7403b) 의 압력이 저하하여 어느 일정값에 달한 경우에는, 원료 용액 밸브 (7407) 를 열림으로 하고, 약액 밸브 (7406) 가 닫힘이 되도록 자동 제어한다.
300kPa 의 압력으로 캐리어 가스를 MFC (7405a, 7405b) 에 도입하고, 가스 통로 (7403a, 7403b) 의 압력을 100kPa 로 설정하였다.
강유전 재료 Bi(MMP)3 와 PZT 의 막형성을 실시하였다. 도 25 에 나타내는 바와 같이 약액의 공급을 정지, 원료 용액의 공급을 개시하고 막형성을 개시하였다. 또한, 도 25 에 있어서 위의 선이 Bi(MMP)3 이고, 아래의 선이 PZT 이다.
Bi (MMP, 3) 는 0.2ccm 으로 공급하고, PZT 는 0.1ccm 으로 공급하였다.
가스 통로 (7403a, 7403b) 의 압력을 압력계 (7401a, 7401b) 로 측정하여, 측정 데이터를 디지털 데이터로서 모니터에 표시하였다.
초기 압력은 100kPa 이다. 다른 기화 조건, 막형성 조건은 도 25 의 표 중에 나타낸다.
약 35 분 후에 있어서, Bi(MMP)3 은 225kPa, PZT 는 150kPa 에 도달하였다. 그 시점에서 원료 용액을 정지, 약액의 공급 개시를 실시하였다.
도 25 에 나타내는 바와 같이, 약액의 공급 개시로부터 매우 단시간에 가스 통로 (7403a, 7403b) 의 압력은 100kPa 로 되돌아갔다.
또한, 도 24 에 나타내는 예에서는, 2 개소에서 캐리어 가스의 도입을 실시하고 있지만, 1 개소에서의 도입의 경우와 동일하다. 또한, 3 개소 이상이어도 동일하다.
이하의 실시예에 있어서는, 각종 기화기 및 기화기를 사용한 막형성 장치에 대해서 말하지만 이들의 기화기, 막형성 장치에 관해서 상기한 MFC, 압력계를 형성한 바 도 25 에 나타내면 동일한 경향의 결과가 얻어졌다.
(발명의 개시)
본 발명의 기화 장치는, 가스 통로의 일단으로부터 캐리어 가스를 도입하고, 그 가스 통로의 타단으로부터 원료 용액을 함유하는 캐리어 가스를 기화부에 보내어 기화시키는 기화 장치로서,
그 가스 통로의 일단에 유량 제어 장치 (MFC) 를 형성함과 함께, 그 가스 통로 내에서의 압력을 검지하기 위한 수단 (이하,「압력 검지 수단」이라고 함) 을 형성한 것을 특징으로 하는 기화 장치.
상기 가스 통로 내에 퇴적 또는 부착한 것 (이하「퇴적물 등」이라고 함) 을 용해하는 것이 가능한 약액을 그 가스 통로 내에 도입하기 위한 수단 (이하「용해 약액 공급 수단」 이라고 함) 약액을 형성한 것을 특징으로 한다.
상기 약액은, 상기 원료 용액의 용매인 것을 특징으로 한다.
상기 가스 통로는, 그 타단은 다른 부분보다 가늘게 되어 있는 것을 특징으로 한다.
상기 타단의 직경은 2mm 이하인 것을 특징으로 한다.
상기 압력 검지 수단으로부터의 신호를 표시하기 위한 수단을 형성한 것을 특징으로 한다.
본 발명의 기화 방법은, 가스 통로의 일단으로부터 캐리어 가스를 도입하고, 그 가스 통로의 타단으로부터 원료 용액을 함유하는 캐리어 가스를 기화부에 보내어 기화시키는 기화 방법으로서, 그 가스 통로의 일단에 유량 제어 장치 (MFC) 를 형성함과 함께, 그 가스 통로 내에서의 압력을 검지하면서 기화시키는 것을 특징으로 한다.
상기 압력이 소정의 값 이상이 되었을 때에 퇴적물 등을 용해하는 것이 가능한 약액을 그 가스 통로 내에 도입하는 것을 특징으로 한다.
상기 약액은, 상기 원료 용액의 용매인 것을 특징으로 한다.
상기 가스 통로는, 그 타단은 다른 부분보다 가늘게 되어 있는 것을 특징으로 한다.
상기 타단의 직경은 2mm 이하인 것을 특징으로 한다.
상기 압력을 표시하는 것을 특징으로 한다.
본 발명의 막형성 장치는, 상기 중 어느 하나의 기화 장치를 구비한 것을 특징으로 한다.
상기 막형성 장치는 MOCVD 장치인 것을 특징으로 한다.
본 발명의 막형성 방법은, 상기 중 어느 하나의 기화 방법에 의해 기화시켜 막형성을 실시하는 것을 특징으로 한다.
상기 막형성 방법은 MOCVD 방법인 것을 특징으로 한다.
본 발명의 다른 막형성 장치는, 띠 형상 기체를 연속적으로 보내면서 그 띠 형상 기체의 표면에 막형성을 실시하기 위한 막형성 장치에 있어서, 그 표면에 대향시켜 상기 중 어느 하나의 기화 장치를 복수개 형성한 것을 특징으로 한다.
본 발명의 막형성 방법은, 상기 막형성 장치를 사용한 막형성 방법으로서, 상기 복수의 기화기 중 어느 하나의 기화기에 있어서, 용해 약액 공급 수단을 온으로 하고, 다른 기화기에 있어서는 기화를 계속해서 실시하여 연속적으로 막형성을 실시하는 것을 특징으로 한다.
또한, 본 발명에서의 압력 검지 수단을 형성하는 것, 또는, 그 압력 검지 수단에 의해 얻은 압력 정보에 근거하여 출구를 포함하는 가스 통로를 약액으로 세정하는 것은, 종래의 기화기, 예를 들어, 일본 공개특허공보 평3-126872호의 도 1 에 나타내는 구조의 기화기, 일본 공개특허공보 2002-110546호의 도 2 에 나타내는 구조의 기화기에 대해서도 적용할 수 있다. 또한, 도 26 에 나타내는 구조의 기화기에도 적용할 수 있다.
특히, 이하의 기화기 또는 기화 방법에 적용하는 것이 바람직하다.
① 내부에 형성된 가스 통로와, 그 가스 통로에 캐리어 가스를 도입하기 위한 가스 도입구와, 그 가스 통로에 원료 용액을 공급하기 위한 수단과, 원료 용액을 함유하는 캐리어 가스를 기화부로 보내기 위한 가스 출구와, 그 가스 통로를 냉각하기 위한 수단을 갖는 분산부와;
② 일단이 막형성 그 밖의 각종 장치의 반응부에 접속되고, 타단이 상기 가스 출구에 접속된 기화관과, 그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진 무화 (霧化) 된 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부를 가지며,
그 가스 출구의 외측에 세공을 갖는 복사 방지부를 형성한 것을 특징으로 하는 기화기.
① 내부에 형성된 가스 통로와, 그 가스 통로에 가압된 캐리어 가스를 도입하기 위한 가스 도입구와, 그 가스 통로에 원료 용액을 공급하기 위한 수단과, 원료 용액을 함유하는 캐리어 가스를 기화부로 보내기 위한 가스 출구를 갖는 분산부와;
② 일단이 막형성 그 밖의 각종 장치의 반응부에 접속되고, 타단이 상기 가스 출구에 접속된 기화관과, 그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부를 가지며,
③ 상기 분산부는, 원통형 또는 원추형 중공부를 갖는 분산부 본체와, 그 원통형 또는 원추형 중공부의 내경보다 작은 외경을 갖는 로드를 갖고, 그 로드는 그 외주의 기화기측에 1 또는 2 이상의 나선형의 홈을 가지며, 또한, 그 원통형 또는 원추형 중공부에 삽입되며,
④ 그 가스 출구의 외측에, 가스 출구측에 세공을 갖고, 기화기측을 향하여 내경이 테이퍼 형상으로 넓어지는 냉각된 복사 방지부를 형성한 것을 특징으로 하는 기화기.
① 내부에 형성된 가스 통로와, 그 가스 통로에 캐리어를 도입하기 위한 가스 도입구와, 그 가스 통로에 원료 용액을 공급하기 위한 수단과, 원료 용액을 함유하는 캐리어 가스를 기화부로 보내기 위한 가스 출구와, 그 가스 통로를 냉각하기 위한 수단을 갖는 분산부와;
② 일단이 막형성 그 밖의 각종 장치의 반응부에 접속되고, 타단이 상기 가스 출구에 접속된 기화관과, 그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진, 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부를 가지며,
상기 가스 도입구로부터 캐리어 가스로서, Ar 또는 N2, 헬륨 등에 약간 산화성 가스를 첨가하여 도입하는 방법, 또는, 분출구 근방의 일차 산소 공급구로부터 산화성 가스 또는 그 혼합 가스를 도입할 수 있도록 한 것을 특징으로 한다.
본 발명의 기화기는, ① 내부에 형성된 가스 통로와, 그 가스 통로에 캐리어를 도입하기 위한 가스 도입구와, 그 가스 통로에 원료 용액을 공급하기 위한 수단과, 원료 용액을 함유하는 캐리어 가스를 기화부로 보내기 위한 가스 출구와, 그 가스 통로를 냉각하기 위한 수단을 갖는 분산부와;
② 일단이 막형성 그 밖의 각종 장치의 반응부에 접속되고, 타단이 상기 가스 출구에 접속된 기화관과, 그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부를 가지며,
그 가스 출구의 외측에 세공을 갖는 복사 방지부를 형성하여, 상기 가스 도입구로부터 캐리어 가스와 산화성 가스를 도입할 수 있도록 한 것을 특징으로 하는 기화기.
가스 통로에 원료 용액을 도입하고, 그 도입한 원료 용액을 향하여 고속의 캐리어 가스를 분사시킴으로써 그 원료 용액을 전단 (剪斷)ㆍ무화 (霧化) 시켜 원료 가스로 하고, 이어서, 그 원료 가스를 기화부에 공급하여 기화시키는 기화 방법에 있어서, 캐리어 가스 중에 산소를 함유시켜두는 것을 특징으로 하는 기화 방법.
원료 용액을 공급하는 복수의 용액 통로와, 그 복수의 용액 통로로부터 공급되는 복수의 원료 용액을 혼합하는 혼합부와, 일단이 혼합부에 연통하여 기화부측이 되는 출구를 갖는 공급 통로와, 그 공급 통로 내에서 그 혼합부로부터 나온 혼합 원료 용액에 캐리어 가스 또는, 캐리어 가스와 산소의 혼합 가스를 내뿜도록 배치된 가스 통로와, 그 공급 통로를 냉각하기 위한 냉각 수단이 형성되어 있는 것을 특징으로 하는 기화기.
원료 용액을 공급하는 복수의 용액 통로와, 그 복수의 용액 통로로부터 공급되는 복수의 원료 용액을 혼합하는 혼합부와, 일단이 혼합부에 연통하여 기화부측이 되는 출구를 갖는 공급 통로와, 그 공급 통로 내에서 그 혼합부로부터 나온 혼합 원료 용액에 캐리어 가스 또는, 캐리어 가스와 산소의 혼합 가스를 내뿜도록 배치된 가스 통로와, 그 공급 통로를 냉각하기 위한 냉각 수단이 형성되어 있는 분산기와, 일단이 막형성 그 밖의 각종 장치의 반응부에 접속되고, 타단이 상기 분산기의 출구에 접속된 기화관과, 그 기화관을 가열하기 위한 가열 수단을 갖고, 상기 분산부로부터 보내어진 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부를 가지며, 그 출구의 외측에 세공을 갖는 복사 방지부를 형성한 것을 특징으로 하는 분산기.
실시예 1
도 1 에 실시예 1 에 관계되는 MOCVD 용 기화기를 나타낸다.
본 예에서는, 분산부를 구성하는 분산부 본체 (1) 의 내부에 형성된 가스 통로 (2) 와, 가스 통로 (2) 에 가압된 캐리어 가스 (3) 를 도입하기 위한 가스 도입구 (4) 와,
가스 통로 (2) 를 통과하는 캐리어 가스에 원료 용액 (5) 을 공급하고, 원료 용액 (5) 을 미스트화 하기 위한 수단 (원료 공급 구멍; 6) 과,
미스트화된 원료 용액 (5) 을 함유하는 캐리어 가스 (원료 가스) 를 기화부 (22) 에 보내기 위한 가스 출구 (7) 와,
가스 통로 (2) 내를 흐르는 캐리어 가스를 냉각하기 위한 수단 (냉각수; 18) 을 갖는 분산부 (8) 와,
일단이 MOCVD 장치의 반응관에 접속되고, 타단이 분산부 (8) 의 가스 출구 (7) 에 접속된 기화관 (20) 과,
기화관 (20) 을 가열하기 위한 가열 수단 (히터, 21)
을 갖고, 상기 분산부 (8) 로부터 보내어진, 원료 용액이 분산된 캐리어 가스를 가열하여 기화시키기 위한 기화부 (22),
를 갖고,
가스 출구 (7) 의 외측에 세공 (101) 을 갖는 복사 방지부 (102) 가 형성되어 있다.
이하 실시예를 보다 상세히 설명한다.
도면에 나타내는 예에서는, 분산부 본체 (1) 의 내부는 원통형의 중공부로 되어있다. 상기 중공부 내에 로드 (10) 가 끼워져 있고, 분산부 본체의 내벽과 로드 (10) 에 의해 가스 통로 (2) 가 형성되어 있다. 또한, 중공부는 원통형으로 한정되지 않고, 다른 형상이어도 된다. 예를 들어, 원추형이 바람직하다. 원추형의 중공부의 원소의 각도로서는, 0∼45°가 바람직하고, 8∼20°가 보다 바람직하다. 다른 실시예에 있어서도 동일하다.
또한, 가스 통로의 단면적은 0.10∼0.5mm2 가 바람직하다. 0.10mm2 미만에서는 가공이 곤란하다. 0.5mm2 를 초과하면 캐리어 가스를 고속화하기 위해서 고압의 캐리어 가스를 대유량 사용할 필요가 발생한다.
대유량의 캐리어 가스를 사용하면, 반응 챔버를 감압 (예: 1.0Torr) 으로 유지하기 위해서, 대용량의 대형 진공 펌프가 필요해진다. 배기 용량이, 1 만리터/min.(at, 1.0Torr) 를 초과하는 진공 펌프의 이용은 곤란하므로, 공업적인 실용화를 도모하기 위해서는, 적정한 유량 즉 가스 통로 면적 0.10∼0.5mm2 가 바람직하다.
이 가스 통로 (2) 의 일단에는 가스 도입구 (4) 가 형성되어 있다. 가스 도입구 (4) 에는 캐리어 가스 (예를 들어 N2, Ar, He) 원 (도시 생략) 이 접속되어 있다.
분산부 본체 (1) 의 거의 중앙의 측부에는, 가스 통로 (2) 에 연통시켜 원료 공급 구멍 (6)을 형성해두고, 원료 용액 (5) 을 가스 통로 (2) 에 도입하여, 원료 용액 (5) 을 가스 통로 (2) 를 통과하는 캐리어 가스에 분산시켜 원료 가스로 할 수 있다.
가스 통로 (2) 의 일단에는, 기화부 (22) 의 기화관 (20) 에 연통하는 가스 출구 (7) 가 형성되어 있다.
분산부 본체 (1) 에는, 냉각수 (18) 를 흐르게 하기 위한 공간 (11) 이 형성되어 있고, 이 공간 내에 냉각수 (18) 을 흐르게 함으로써 가스 통로 (2) 내를 흐르는 캐리어 가스를 냉각한다. 또는 이 공간 대신에, 예를 들어 펠체 소자 등을 설치하여 냉각해도 된다. 분산부 (8) 의 가스 통로 (2) 내는 기화부 (22) 의 히터 (21)에 의한 열영향을 받기 때문에 가스 통로 (2) 내에서 원료 용액의 용제와 유기 금속 착물과의 동시 기화가 발생하지 않고, 용제만의 기화가 발생한다. 그래서, 가스 통로 (2) 내를 흐르는 원료 용액이 분산된 캐리어 가스를 냉각함으로써 용제만의 기화를 방지한다. 특히, 원료 공급 구멍 (6) 보다 하류측의 냉각이 중요하고, 적어도 원료 공급 구멍 (6) 의 하류측의 냉각을 실시한다. 냉각 온도는, 용제의 비점 이하의 온도이다. 예를 들어, THF 의 경우 67℃ 이하이다. 특히, 가스 출구 (7) 에서의 온도가 중요하다.
본 예에서는, 또한, 가스 출구 (7) 의 외측에 세공 (101) 을 갖는 복사 방지부 (102) 를 형성하고 있다. 또, 부호 "103, 104" 는 O링 등의 시일 부재이다. 이 복사 방지부 (102) 는, 예를 들어, 테플론, 스테인리스, 세라믹 등에 의해 구성하면 된다. 또한, 열전도성이 우수한 재료에 의해 구성하는 것이 바람직하다.
본 발명자의 지견에 의하면, 종래 기술에 있어서는, 기화부에서의 열이, 복사열로서 가스 출구 (7) 를 통하여 가스 통로 (2) 내에서의 가스를 과열시킨다. 따라서, 냉각수 (18) 에 의해 냉각하였다고 해도 가스 중의 저융점 성분이 가스 출구 (7) 근방으로 석출한다.
복사 방지부는, 이러한 복사열이 가스로 전파하는 것을 방지하기 위한 부재이다. 따라서, 세공 (101) 의 단면적은, 가스 통로 (2) 의 단면적보다 작게 하는 것이 바람직하다. 1/2 이하로 하는 것이 바람직하고, 1/3 이하로 하는 것이 보다 바람직하다. 또한, 세공을 미소화하는 것이 바람직하다. 특히, 분출하는 가스 유속이 아음속이 되는 치수로 미소화하는 것이 바람직하다.
또한, 상기 세공의 길이는, 상기 세공 치수의 5 배 이상인 것이 바람직하고, 10 배 이상인 것이 보다 바람직하다.
또한, 분산부를 냉각함으로써, 장기간에 걸친 사용에 대해서도 가스 통로 내 (특히 가스 출구) 에서의 탄화물에 의한 폐색을 발생시키는 경우가 없다.
분산부 본체 (1) 의 하류측에서, 분산부 본체 (1) 는 기화관 (20) 에 접속되어 있다. 분산부 본체 (1) 와 기화관 (20) 과의 접속은 이음매 (24) 에 의해 실시되고, 이 부분이 접속부 (23) 가 된다.
전체도를 도 2 에 나타낸다. 기화부 (22) 는 기화관 (20) 과 가열 수단 (히터, 21) 으로 구성된다. 히터 (21) 는 기화관 (20) 내를 흐르는 원료 용액이 분산된 캐리어 가스를 가열하여 기화시키기 위한 히터이다. 히터 (21) 로서는, 종래에는 원통형 히터나 맨틀 히터를 기화관 (20) 의 외주에 부착함으로써 구성하지만, 기화관의 길이 방향에 대하여, 균일한 온도가 되도록 가열하기 위해서는, 열용량이 큰 액체나 기체를 열매체에 사용하는 방법이 가장 우수하였기 때문에, 이를 이용하였다.
기화관 (20) 으로서는, 예를 들어 SUS316L 등의 스테인리스강을 사용하는 것이 바람직하다. 기화관 (20) 의 치수는, 기화 가스의 온도가 충분히 가열되는 길이로 적절히 결정하면 되지만, 예를 들어, SrBi2Ta2O9 원료 용액 0.04ccm 를 기화하는 경우에는, 외경 3/4인치, 길이 수백 mm 인 것을 사용하면 된다.
기화관 (20) 의 하류측단은 MOCVD 장치의 반응관에 접속되지만, 본 예에서는 기화관 (20) 에 산소 공급 수단으로서 산소 공급구 (25) 를 형성하고, 소정의 온도로 가열된 산소를 캐리어 가스에 혼입시켜 얻을 수 있도록 하고 있다.
우선, 기화기로의 원료 용액의 공급에 대해서 서술한다.
도 3 에 나타내는 바와 같이, 원료 공급구 (6) 에는, 각각, 저장 탱크 (32a, 32b, 32c, 32d) 가, 매스플로 컨트롤러 (30a, 30b, 30c, 30d) 및 밸브 (31a, 31b, 31c, 31d) 를 통하여 접속되어 있다.
또한, 각각의 저장 탱크 (32a, 32b, 32c, 32d) 에는 캐리어 가스 봄베 (33) 가 접속되어 있다.
저장 탱크의 상세함을 도 4 에 나타낸다.
저장 탱크에는, 원료 용액이 충전되어 있고, 각각의 저장 탱크 (내용적 300cc, SUS 제조로, 예를 들어 1.0∼3.0kgf/cm2 의 캐리어 가스 (예를 들어, 불활성 가스 Ar, He, Ne) 를 보낸다. 저장 탱크 내는 캐리어 가스에 의해 가압되기 때문에, 원료 용액은 용액과 접하고 있는 측의 관내를 밀어 올리고 액체용 매스플로 컨트롤러 (STEC 제조, 풀스케일 유량 0.2cc/min) 까지 압송되고, 여기서 유량이 제어되어, 기화기의 원료 공급 입구 (29) 로부터 원료 공급 구멍 (6) 으로 수송된다.
매스플로 컨트롤러에서 일정 유량으로 제어된 캐리어 가스에 의해서 반응부로 수송된다. 동시에 매스플로 컨트롤러 (STEC 제조, 풀스케일 유량 2L/min) 에서 일정 유량으로 제어된 산소 (산화제) 도 반응부로 수송한다.
원료 용액은, 용제인 THF 그 밖의 용매에 상온에서 액체 또는 고체 형상의 유기 금속 착물을 용해하고 있기 때문에, 그대로 방치해두면 THF 용제의 증발에 의해서 유기 금속 착물이 석출하여, 최종적으로 고체 형상이 된다. 따라서 원액과 접촉한 배관내가, 이것에 의해서 배관의 폐색 등을 발생시키는 것이 상정된다. 따라서 배관의 폐색을 억제하기 위해서는, 막형성 작업 종료 후의 배관내 및 기화기내를 THF 그 밖의 용매로 세정하면 된다고 생각하여, 세정 라인을 형성하고 있다. 세정은, 원료 용기 교환 작업도 포함시켜 용기 출구측보다 기화기까지의 구간으로 하고, 각 작업에 적합한 부분을 용제로 씻어내는 것이다.
밸브 (31b, 31c, 31d) 를 열림으로 하고, 저장 탱크 (32b, 32c, 32d) 내에 캐리어 가스를 압송하였다. 원료 용액은, 매스플로 컨트롤러 (STEC 제조 풀스케일 유량 0.2cc/min) 까지 압송되고, 여기서 유량이 제어되어, 용액 원료를 기화기의 원료 공급 구멍 (6) 에 수송한다.
한편, 캐리어 가스를 기화기의 가스 도입구로부터 도입하였다. 공급구측의 최대 압력은 3kgf/cm2 이하로 하는 것이 바람직하고, 이 때 통과 가능한 최대 유량은 약 1200cc/min 이고, 가스 통로 (2) 의 통과 유속은 백수십m/s 까지 달한다.
기화기의 가스 통로 (2) 를 흐르는 캐리어 가스에 원료 공급 구멍 (6) 으로부터 원료 용액이 도입하면 원료 용액은 캐리어 가스의 고속류에 의해 전단되고, 초미립자화된다. 그 결과 원료 용액은 캐리어 가스 중에 초미립자 상태로 분산된다. 원료 용액이 초미립자 상태로 분산된 캐리어 가스 (원료 가스) 는 고속인 채로 기화부 (22) 에 무화되어 방출된다. 가스 통로와 원료 공급 구멍이 형성되는 각도를 최적화한다. 캐리어 유로와 원료 용액 도입구가 예각 (30도) 인 경우, 용액은 가스에 당겨진다. 90 도 이상이면, 용액은 가스에 밀쳐진다. 용액의 점도ㆍ유량으로부터 알맞은 각도가 결정된다. 점도나 유량이 큰 경우에는, 보다 예각으로 함으로써 용액이 원활하게 흐른다. 헥산을 용매로 사용하여 SBT 막을 형성하는 경우, 점도ㆍ유량이 모두 작기 때문에 약 84 도가 바람직하다.
일정 유량으로 제어된 3 종의 원료 용액은, 각각의 원료 공급 입구 (29) 를 통하여 원료 공급 구멍 (6) 으로부터 가스 통로 (2) 에 유입하여, 고속 기류가 된 캐리어 가스와 함께 가스 통로를 이동한 후, 기화부 (22) 에 방출된다. 분산부 (8) 에 있어서도, 원료 용액은 기화부 (22) 로부터의 열에 의해서 가열되어 THF 등의 용제의 증발이 촉진되기 때문에, 원료 공급 입구 (29) 로부터 원료 공급 구멍 (6) 까지의 구간 및 가스 통로 (2) 의 구간을 물 그 밖의 냉매에 의해서 냉각한다.
분산부 (8) 로부터 방출된 캐리어 가스 중에 미립자 형상으로 분산된 원료 용액은, 히터 (21) 에 의해서 소정의 온도로 가열된 기화관 (20) 내부를 수송 중에 기화가 촉진되어 MOCVD 의 반응관에 도달하기 직전에 형성된 산소 공급구 (25) 로부터의 소정의 온도로 가열된 산소의 혼입에 의해 혼합 기체가 되어 반응관에 유입된다. 또, 본 예에서는, 막형성을 대신하여 기화 가스의 반응 형태의 해석을 실시함으로써 평가하였다.
배기구 (42) 로부터 진공 펌프 (도시 생략) 를 접속하여, 약 20 분간의 감압 조작에 의해 반응관 (44) 내의 수분 등의 불순물을 제거하고, 배기구 (42) 하류의 밸브 (40) 를 닫았다.
기화기에 냉각수를 약 400cc/min 로 흐르게 하였다. 한편, 3kgf/cm2 의 캐리어 가스를 495cc/min 로 흐르게 하고, 반응관 (44) 내를 캐리어 가스로 충분히 채운 후, 밸브 (40) 를 개방하였다. 가스 출구 (7) 에서의 온도는 67℃ 보다 낮았다.
기화관 (20) 내를 200℃, 반응관 (44) 로부터 가스팩 (46) 까지의 구간 및 가스팩을 100℃, 반응관 (44) 내를 300℃∼600℃ 로 가열하였다.
저장 탱크내를 캐리어 가스로 가압하여, 매스플로 컨트롤러로 소정의 액체를 흐르게 하였다.
Sr(DPM)2, Bi(C6H5)3, Ta(OC2H5)5, THF 를 각각 0.04cc/min, 0.08cc/min, 0.08 cc/min, 0.2cc/min 의 유량으로 흐르게 하였다.
20 분 후 가스팩 (46) 직전의 밸브를 열어 가스팩 (46) 내에 반응 생성물을 회수하고, 가스 크로마토 그래프로 분석하여 검출된 생성물과 반응 이론에 근거하여 검토한 반응식 중의 생성물이 일치하는지를 조사하였다. 그 결과, 본 예에 있어서는, 검출된 생성물과 반응 이론에 근거하여 검토한 반응식 중의 생성물은 잘 일치하였다.
또한, 분산부 본체 (1) 의 가스 출구 (7) 측의 외면에서의 탄화물의 부착량을 측정하였다. 그 결과, 탄화물의 부착량은 극히 작고, 도 14 에 나타내는 장치를 사용한 경우보다 더욱 적었다.
또, 용매에 막 원료가 되는 금속을 혼합 또는 용해시켜 원료 용액으로 한 경우, 그 원료 용액은 금속은 착물이 되고, 액체/액체 상태 (완전 용매액) 가 되는 것이 일반적이다. 그러나, 본 발명자는 원료 용액을 면밀히 조사한 바, 반드시 금속 착물이 흩어진 분자 상태로는 되지 않고, 금속 착물 그 자체가 용매 중에서, 1∼100nm 의 크기의 미립자로서 존재하는 경우도 있어, 고체/액체 상태로서 일부 존재하는 경우도 있는 것을 지견하였다. 기화시의 막힘은 이러한 상태의 원료 용액일 때에 특히 생기기 쉽다고 생각되지만, 본 발명의 기화기를 사용한 경우에는, 이러한 상태의 원료 용액인 경우에도 막힘은 생기지 않는다.
또한, 원료 용액의 보존하는 용액 중에서는, 미립자가 그 중력 때문에 바닥부에 침강하기 쉽다. 그래서, 바닥부를 가열 (어디까지나 용매의 증발점 이하로) 함으로써 보존 용액 내에서 대류를 발생시켜 미립자를 균일 분산시키는 것이 막힘 방지상 바람직하다. 또한, 바닥부를 가열함과 함께 용기 상면의 측면은 냉각하는 것이 보다 바람직하다. 물론 용제의 증발 온도 이하의 온도로 가열을 한다.
또한, 기화관 상부 영역의 가열 열량이 하류 영역의 가열 열량보다 커지도록 가열 히터를 설정 내지 제어하는 것이 바람직하다. 즉, 분산부로부터 수냉된 가스가 분출하기 때문에, 기화관 상부 영역에서는 가열 열량을 크게하고, 하류 영역에서는 가열 열량을 작게 설정 또는 제어하는 가열 히터를 형성하는 것이 바람직하다.
(실시예 2)
도 5 에 실시예 2 에 관계되는 MOCVD 용 기화기를 나타낸다.
본 예에서는, 복사 방지부 (102) 의 외주에 냉각수 통로 (106) 를 형성하고, 또한, 접속부 (23) 의 외주에는 냉각 수단 (50) 을 형성하여, 복사 방지부 (102) 의 냉각을 하였다.
또한, 세공 (101) 의 출구 주변에 오목부 (107) 를 형성하였다.
그 그 밖의 점은 실시예 1 과 동일하게 하였다.
본 예에 있어서는, 검출된 생성물과 반응 이론에 근거하여 검토한 반응식 중의 생성물은 실시예 1 의 경우보다 양호한 일치가 보였다.
또한, 분산부 본체 (1) 의 가스 출구 (7) 측의 외면에서의 탄화물의 부착량을 측정한 결과는, 탄화물의 부착량은 실시예 1 의 경우의 약 1/3 배였다.
(실시예 3)
도 6 에 실시예 3 에 관계되는 MOCVD 용 기화기를 나타낸다.
본 예에서는, 복사 방지부 (102) 에 테이퍼 (51) 를 형성하고 있다. 이러한 테이퍼 (51) 때문에 그 부분의 데드존이 없어지고, 원료의 체류를 방지할 수 있다.
그 그 밖의 점은 실시예 2 와 동일하게 하였다.
본 예에 있어서는, 검출된 생성물과 반응 이론에 근거하여 검토한 반응식 중의 생성물은 실시예 2 의 경우보다도 양호한 일치가 보였다.
또한, 분산부 본체 (1) 의 가스 출구 (7) 측의 외면에서의 탄화물의 부착량을 측정한 결과는, 탄화물의 부착량은 전무에 가까웠다.
(실시예 4)
도 7 에 가스 통로의 변형 실시예를 도시한다.
도 7 의 (a) 에서는 로드 (10) 의 표면에 홈 (70) 을 형성해 두고, 로드 (10) 의 외경을 분산부 본체 (1) 의 내부에 뚫은 구멍의 내경과 거의 동일하게 해두었다. 따라서, 로드 (10) 를 구멍에 끼워 넣는 것만으로, 편심하지 않고 구멍 내에 로드 (10) 를 배치할 수 있다. 또한, 비스 등을 사용할 필요도 없다. 이 홈 (70) 이 가스 통로가 된다.
또, 홈 (70) 은 로드 (10) 의 길이 방향 중심축과 평행하게 복수개 형성해도 되지만, 로드 (10) 의 표면에 나선형으로 형성해도 된다. 나선형의 경우에는 보다 균일성이 우수한 원료 가스를 얻을 수 있다.
도 7 의 (b) 는 로드 (10) 의 선단부에 혼합부를 형성한 예이다. 선단부의 가장 큰 직경을 분산부 본체 (1) 의 내부에 뚫은 구멍의 내경과 거의 동일하게 되어 있다. 로드 선단부와 구멍의 내면에서 형성되는 공간이 가스 통로가 된다.
또한, 도 7 의 (a), 도 7 의 (b) 에 나타낸 예는, 로드 (10) 의 표면을 가공한 예이지만, 로드로서 단면 원형을 사용하여, 구멍 쪽에 오목부를 형성하여 가스 통로로 해도 되는 것은 말할 필요도 없다. 또, 로드의 설치는, 예를 들어, JIS 에 규정하는 H7×h6∼JS7 정도로 실시하는 것이 바람직하다.
(실시예 5)
도 8 에 근거하여 실시예 5 를 설명한다.
본 예의 MOCVD 용 기화기는, 내부에 형성된 가스 통로와, 가스 통로에 가압된 캐리어 가스 (3) 를 도입하기 위한 가스 도입구 (4) 와, 가스 통로에 원료 용액 (5a, 5b) 을 공급하기 위한 수단과, 원료 용액 (5a, 5b) 을 함유하는 캐리어 가스를 기화부 (22) 에 보내기 위한 가스 출구 (7) 를 갖는 분산부 (8)와, 일단이 MOCVD 장치의 반응관에 접속되고, 타단이 전 가스 출구 (7) 에 접속된 기화관 (20) 과, 기화관 (20) 을 가열하기 위한 가열 수단을 갖고, 분산부 (8) 로부터 보내어진 원료 용액을 함유하는 캐리어 가스를 가열하여 기화시키기 위한 기화부 (22) 를 갖고, 분산부 (8) 는, 원통형 중공부를 갖는 분산부 본체 (1) 와 원통형 중공부의 내경보다 작은 외경을 갖는 로드 (10) 를 갖고, 로드 (10) 의 외주의 기화기 (22) 측에 1 또는 2 이상의 나선형의 홈 (60) 을 갖고, 로드 (10) 는 상기 원통형 중공부에 삽입되고, 가스 출구 (7) 의 외측에 세공 (101) 을 갖고, 기화기 (22) 측을 향하여 내경이 테이퍼 형상으로 넓지는 복사 방지부 (101) 를 형성하고 있다.
고속의 캐리어 가스 (3) 가 흐르는 가스 통로에 원료 용액 (5) 이 공급되면, 원료 용액은 전단ㆍ무화된다. 즉, 액체인 원료 용액은, 캐리어 가스의 고속류에 의해 전단되어 입자화된다. 입자화된 원료 용액은 입자 상태로 캐리어 가스 중에 분산된다. 이 점은, 실시예 1 과 동일하다.
또, 전단ㆍ무화를 최적으로 실시하기 위해서는, 다음의 조건이 바람직하다.
원료 용액 (5) 의 공급은, 0.005∼2cc/min 으로 실시하는 것이 바람직하고, 0.005∼0.02c/min 으로 실시하는 것이 보다 바람직하며, 0.1∼0.3cc/min 으로 실시하는 것이 더욱 바람직하다. 복수의 원료 용액 (용제를 포함한다) 을 동시에 공급하는 경우에는, 그 총량이다.
또한, 캐리어 가스는 10∼200m/sec 의 속도로 공급하는 것이 바람직하고, 100∼200m/sec 가 보다 바람직하다.
원료 용액 유량과 캐리어 가스 유량은 상관 관계가 있고, 최적인 전단ㆍ무화를 실현하며, 초미립자 미스트가 얻어지는 유로 단면적과 형상을 선택하는 것은 말할 필요도 없다.
본 예에서는, 로드 (10) 의 외주에는, 나선형의 홈 (60) 이 형성되어 있고, 또한, 분산부 본체 (1) 와 로드 (10) 사이에는 간극 공간이 존재하기 때문에, 무화 상태가 된 원료 용액을 함유하는 캐리어 가스는 이 간극 공간을 직진류로서 직진함과 함께, 나선형의 홈 (60) 을 따라 선회류를 형성한다.
이와 같이, 직진류와 선회류가 병존하는 상태에 있어서 무화한 원료 용액은 캐리어 가스 중에 동일 형태로 분산하는 것을 본 발명자는 발견한 것이다. 직진류와 선회류가 병존하면 왜 균일한 분산이 얻어지는 것인지의 이유는 반드시 분명하지 않지만 다음과 같이 생각된다. 선회류의 존재에 의해, 흐름에 원심력이 작용하고, 2차 흐름이 발생한다. 이 2차 흐름에 의해, 원료 및 캐리어 가스의 혼합이 촉진된다. 즉, 선회류의 원심 효과에 의해 흐름에 대하여 직각 방향으로 2차적인 파생류가 생기고, 이것에 의해서 무화한 원료 용액이 캐리어 가스 중에 의해 균일하게 분산하는 것으로 생각된다.
이하, 본 실시예를 보다 상세히 설명한다.
본 실시예에서는, 일례로서 4 종류의 원료 용액 (5a, 5b, 5c, 5d ; 5a, 5b, 5c 는 유기 금속 원료, 5d 는 THF 등의 용제 원료) 을 가스 통로에 공급하도록 구성되어 있다.
각각 무화하여, 초미립자 형상이 된 원료 용액을 함유하는 캐리어 가스 (「원료 가스」라고 한다) 를 혼합하기 위해서, 본 예에서는, 로드 (10) 의 원료 공급 구멍 (6) 에 대응하는 부분의 하류 부분에 나선형의 홈이 없는 부분을 형성하고 있다. 이 부분은 프리믹싱부 (65) 가 된다. 프리믹싱부 (65) 에 있어서, 3 종류의 유기 금속의 원료 가스는 어느 정도 혼합되고, 나아가, 하류의 나선 구조의 영역에서 완전한 혼합 원료 가스가 된다. 균일한 혼합 원료 가스를 얻기 위해서는, 이 믹싱부 (65) 의 길이는, 5∼20mm 가 바람직하고, 8∼15mm 가 보다 바람직하다. 이 범위 그 밖의 경우, 3 종류의 유기 금속의 원료 가스 중 1 종류만의 농도가 높은 혼합 원료 가스가 기화부 (22) 에 보내지는 경우가 있다.
본 예에서는, 로드 (10) 의 상류측의 단부 (66) 에는, 평행부 (67) 와 테이퍼부 (58) 를 형성하고 있다. 분산부 본체 (1) 의 원통 중공부에도 평행부 (67) 와 테이퍼부 (58) 에 대응한 로드 (10) 의 평행부 (67) 의 외경과 동일한 내경의 평행부와, 로드 (10) 의 테이퍼와 동일한 테이퍼의 테이퍼부를 형성하고 있다. 따라서, 로드 (10) 를 도면상 좌측에서 삽입하면, 로드 (10) 는 분산부 본체 (1) 의 중공부 내에 유지된다.
본 예에서는, 실시예 1 의 경우와는 달리, 로드 (10) 에 테이퍼를 형성하여 유지하고 있기 때문에, 3kgf/cm2 보다도 고압인 캐리어 가스를 사용해도 로드 (10) 의 이동을 방지할 수 있다. 즉, 도 8 에 나타내는 유지 기술을 이용하면, 3kgf/cm2 이상의 압력으로 캐리어 가스를 흐르게 할 수 있다. 그 결과, 가스 통로의 단면적을 작게 하여, 소량의 가스로 보다 고속인 캐리어 가스의 공급이 가능해진다. 즉, 50∼300mm/s 의 고속인 캐리어 가스의 공급도 가능해진다. 상기한 다른 실시예에 있어서도 이 유지 기술을 이용하면 동일하다.
또, 로드 (10) 의 원료 공급 구멍 (6) 에 대응하는 부분에는, 도 9 의 (b) 에 나타내는 바와 같이, 캐리어 가스의 통로로서 홈 (67a, 67b, 67c, 67d) 을 형성해 둔다. 각 홈 (67a, 67b, 67c, 67d) 의 깊이로서는, 0.005∼0.1mm 가 바람직하다. 0.005mm 미만에서는 홈의 성형 가공이 곤란해진다. 또한, 0.01∼0.05 가 보다 바람직하다. 이 범위로 함으로써 막힘 등의 발생이 없어진다. 또한, 고속류를 얻기 쉽다.
로드 (10) 의 유지, 가스 통로의 형성에 대해서는, 실시예 1 에서의 도 1 에 나타내는 구성 그 밖의 구성을 이용해도 상관없다.
나선형의 홈 (60) 은, 도 9 의 (a) 에 나타내는 바와 같이, 1 개이어도 되지만, 도 10 에 나타내는 바와 같이 복수개이어도 된다. 또한, 나선 형상의 홈을 복수개 형성하는 경우에는, 크로스시켜도 된다. 크로스시킨 경우에는 보다 균일하게 분산된 원료 가스가 얻어진다. 다만, 각 홈에 대한 가스 유속은 10m/sec 이상이 얻어지는 단면적으로 한다.
나선형의 홈 (60) 의 치수ㆍ형상은 특별히 한정되지 않고, 도 9 의 (c) 에 나타낸 치수ㆍ형상을 일례로서 들 수 있다.
또, 본 예에서는, 도 8 에 나타내는 바와 같이, 가스 통로는 냉각수 (18) 에 의해 냉각하고 있다.
또한, 본 예에서는, 분산부 (22) 의 입구 앞에, 확장부 (69) 를 독립하여 형성하고 있고, 이 확장부에 직사각형의 복사 방지부 (102) 가 배치되어 있다.
복사 방지부의 가스 출구 (7) 측은 세공 (101) 이 형성되고, 기화기 측을 향하여 내경이 테이퍼 형상으로 넓어진다.
이 확장부 (69) 는 실시예 3 에 있어서, 서술한 원료 가스의 체류를 방지하기 위한 부분이기도 하다. 물론, 확장부 (69) 를 독립하여 형성할 필요는 없고, 도 6 에 나타낸 바와 같이 일체화된 구성으로 해도 된다.
확장부 (69) 에서의 확장 각도 (θ) 로서는, 5∼10 도가 바람직하다. 각도 (θ) 가 이 범위 내인 경우, 선회류를 망가뜨리지 않고 원료 가스를 분산부에 공급할 수 있다. 또한, 각도 (θ) 가 이 범위 내인 경우, 확대에 의한 유체 저항이 최소가 되고, 또한, 데드의 존재가 최소가 되어, 데드존의 존재에 의한 와류의 존재를 최소로 할 수 있다. 또, 각도 (θ) 로서는, 6∼7 도가 보다 바람직하다. 또한, 도 6 에 나타낸 실시예의 경우에 있어서도 바람직한 각도 (θ) 의 범위는 동일하다.
(실시예 6)
도 8 에 나타내는 장치를 사용하여, 다음과 같은 조건으로 원료 용액 및 캐리어 가스의 공급을 실시하여, 원료 가스에서의 균일성을 조사하였다.
원료 용액 도입량: Sr(DPM)2 0.04cc/min
Bi(C6H5)3 0.08cc/min
Ta(OC2H5)5 0.08cc/min
THF 0.2cc/min
캐리어 가스: 질소 가스
10∼350m/s
기화 장치로서는 도 8 에 나타내는 장치를 사용하였다. 단지, 로드로서는, 도 9 에 나타내는 로드에 있어서 나선구가 형성되어 있지 않은 로드를 사용하였다.
원료 용액을 원료 공급 구멍 (6) 으로부터 공급함과 함께 캐리어 가스를, 그 속도를 각종 변화시켰다. 또, 원료 공급 구멍으로부터는, 홈 (67a) 에는 Sr(DPM)2, 홈 (67b) 에는 Bi(C6H5)3, 홈 (67c) 에는 Ta(OC2H5)5, 홈 (67d) 에는 THF 등의 용제를 각각 공급하였다.
기화부에서의 가열을 하지 실시하지 않고, 가스 출구 (7) 에서 원료 가스를 채취하여, 채취한 원료 가스에서의 원료 용액의 입자 직경의 측정을 실시하였다.
그 결과를 상대치 (도 12 의 (a)) 에 나타내는 종래 예에 관련되는 장치를 사용한 경우를 1 로 한다) 로서 도 11 에 나타낸다. 도 11 로부터 알 수 있는 바와 같이, 유속을 50m/s 이상으로 함으로써 분산 입자 직경은 작아지고, 100m/s 이상으로 함으로써 분산 입자 직경은 더욱 작아진다. 단지, 200m/s 이상으로 해도 분산 입자 직경은 포화된다. 따라서, 100∼200m/s 가 보다 바람직한 범위이다.
(실시예 7)
본 예에서는, 로드로서 나선홈을 형성한 로드를 사용하였다.
다른 점은 실시예 6 과 동일하게 하였다.
실시예 6 에서는, 홈의 연장부에서, 홈에 공급된 원료 용액의 농도가 짙었다. 즉, 홈 (67a) 의 연장부에서는 Sr(DPM)2 가, 홈 (67b) 의 연장부에서는 Bi(C6H5)3 가, 홈 (67c) 의 연장부에서는 Ta(OC2H5)5 가, 각각 농도가 높았다.
그러나, 본 예에서는 나선홈의 단에 있어서 얻어진 혼합 원료 가스는 모든 부분에서 각 유기 금속 원료가 균일하였다.
(실시예 8)
도 12 및 도 13 에 실시예 8 를 나타낸다.
종래, 산소의 도입은, 도 2 에 나타내는 바와 같이, 기화부 (22) 의 하류에서만 실시되었다. 종래의 기술에 있어서 형성된 막중에 탄소가 대량으로 함유되어 있는 것은 종래 기술의 란에서 서술한 바와 같다. 또한, 원료에서의 조성 배분과 막형성된 막중에서의 조성 배분에는 편차가 생겼다. 즉, 원료를 화학량론비대로의 조성비로 조정하여 기화, 막형성을 실시한 경우, 실제로 막형성된 막은 화학량론비로부터 벗어난 조성의 막이 되었다. 특히, 비스무트가 거의 함유되지 않은 (0.1at% 정도) 현상이 관찰되었다.
본 발명자는 이 원인이 산소의 도입 위치에 관계하는 것을 발견하였다. 즉, 도 20 에 나타내는 바와 같이, 산소를 가스 도입구 (4) 및 분출구 근방 2차 산소 공급구 (200) 및 산소 도입구 (1차 산소 공급구; 25) 로부터 캐리어 가스와 함께 도입하면, 형성된 막중의 조성은 원료 용액 중의 조성 사이의 조성비의 편차는 매우 작은 것으로 할 수 있음을 알았다.
또, 미리 캐리어 가스와 산소를 혼합해 두고, 그 혼합 가스를 가스 도입구 (4) 로부터 도입해도 된다.
(실시예 9)
도 19, 도 20 에 나타내는 기화기, 도 21 에 나타내는 CVD 장치를 사용하여, SBT 막을 형성하고, 또한 분극 특성 등을 평가하였다.
구체적으로는 기화기의 조건 및 반응실의 조건은 하기와 같이 제어하고, 산화된 규소 기판 상에, 백금 200nm 을 형성한 기판 상에 SBT 박막을 형성하였다.
구체적 조건:
헥사에톡시ㆍ스트론튬탄탈 Sr[Ta(OC2H5)6]2 0.1몰 용액 (용매: 헥산) 0.02ml/min.
트리-t-아밀록시드비스무트 Bi(O-t-C5H11)3 0.2몰 용액 (용매: 헥산) 0.02ml/min.
제 1 캐리어 Ar=200sccm (가스 도입구 (4) 에서 넣는다)
제 1 캐리어 O2=10sccm (가스 도입구 (4) 에서 넣는다)
제 2 캐리어 Ar=20sccm (가스 도입구 (200) 에서 넣는다)
O2=10sccm (가스 도입구 (200) 에서 넣는다)
반응 산소 O2=200sccm (분산 분출부 하부 (25) 에서 넣는다)
반응 산소 온도 216℃ (분산 분출부 하부에서 넣기 전에 별도 형성한 히터로 온도 제어)
웨이퍼 온도 475℃
공간 온도 299℃
공간 거리 30mm
샤워 헤드 온도 201℃
반응 압력 1Torr
막형성 시간 20 분
그 결과
SBT 막두께 약 300nm (퇴적 속도 약 150nm/min.)
SBT 조성 Sr 5.4at%
Bi 16.4at%
Ta 13.1at%
O 61.4at%
C 3.5at%
형성된 막중의 조성은, 원료 용액 중의 조성 사이의 조성비의 편차는 작고, 퇴적 속도도 종래비 약 5 배가 되었다. 소량의 산소를 가스 도입구 (4) 로부터 캐리어 가스와 함께 도입하는 효과는 매우 큰 것을 알 수 있다. 카본 함유량도 3.5at% 로 적다.
반응 산소 200cc/min. 을 분산 분출부 하부에서 넣기 전에 별도 형성한 히터로 정확히 온도 제어 (216℃) 하였기 때문에, 기화한 유기 금속 화합물의 재응축ㆍ승화 (고화) 를 억제하는 효과가 큰 것이, 기화관 하부의 오염이 없어진 것으로부터 확인되었다.
이 SBT 박막 형성후, 산소 분위기에서 750℃, 30 분의 결정화 처리를 실시하고, 상부 전극을 형성하여 측정 평가한 바, 우수한 결정화 특성과 분극 특성을 나타내었다. 이것을 도 17, 도 18 에 나타내었다.
가스 도입구 (4) 또는 분출구 근방의 1차 산소 공급구로부터 산소 등의 산화성 가스를 도입하기만 하면, 도 2 에 나타내는 바와 같이, 기화부의 하류에서 동시에 산소를 도입하여 산소의 양을 적절히 제어하는 것이, 보다 조성비의 편차를 작게 하고, 또한, 탄소 함유량을 감소시키는 점에서 바람직하다.
형성된 막중에서의 탄소의 함유량을 종래의 5%∼20% 로 감소시킬 수 있다.
도 20 을 사용하여, SBT 박막 퇴적 프로세스의 실시예를 설명한다.
밸브 (2) 를 열고, 밸브 (1) 를 닫고, 반응 챔버바를 고진공으로 당기고, 수분 후에 로드 로크 챔버바로부터, 반응 챔버 웨이퍼를 옮겨 싣는다.
이 때 기화기에는,
헥사에톡시ㆍ스트론튬탄탈 Sr[Ta(OC2H5)6]2 0.1몰 용액 (용매: 헥산) 0.02ml/min,
트리-t-아밀록시드비스무트 Bi(O-t-C5H11)3 0.2몰 용액 (용매: 헥산) 0.02ml/min,
제 1 캐리어 Ar=200sccm (가스 도입구 (4) 에서 넣는다)
제 1 캐리어 O2=10sccm (가스 도입구 (4) 에서 넣는다) 가 흐르고, 밸브 (2) 및 압력 자동 조정 밸브를 경유하여, 진공 펌프로 빼내어진다.
이 때, 압력계는 압력 자동 조정 밸브에 의해서, 4Torr 로 제어된다. 웨이퍼를 옮겨 싣고 수분 후, 온도가 안정되면,
밸브 (1) 를 열어, 밸브 (2) 를 닫고, 반응 챔버바로 하기의 가스를 흐르게 하여, 퇴적을 시작한다.
헥사에톡시ㆍ스트론튬탄탈 Sr[Ta(OC2H5)6]2 0.1몰 용액 (용매: 헥산) 0.02ml/min,
트리-t-아밀록시드비스무트 Bi(O-t-C5H11)3 0.2몰 용액 (용매: 헥산) 0.02ml/min,
제 1 캐리어 Ar=200sccm (가스 도입구 (4) 에서 넣는다)
제 1 캐리어 O2=10sccm (가스 도입구 (4) 에서 넣는다)
제 2 캐리어 Ar=20sccm (가스 도입구 (200) 에서 넣는다)
O2=10sccm (가스 도입구 (200) 에서 넣는다)
반응 산소 O2=200sccm (분산 분출부 하부 (25) 에서 넣는다)
반응 산소 온도 216℃ (분산 분출부 하부에서 넣기 전에 별도 형성한 히터로 온도 제어)
웨이퍼 온도 475℃
반응 압력 챔버 압력은, 1Torr 로 제어한다.
(기재되어 있지 않은 압력 자동 조절 밸브에 의한다)
소정의 시간 (여기에서는 20분)이 경과하면, 밸브 (2) 를 열고, 밸브 (1) 를 닫고, 퇴적을 종료한다.
반응 챔버바를 고진공으로 당겨 반응 가스를 완전히 제거하여, 1 분 후에 로드로크챔버바로 웨이퍼를 꺼낸다.
커패시터 구조
Pt(200nm)/CVDSBT(300nm)/Pt(175nm)/Ti(30nm)/SiO2/Si
커패시터 제조 프로세스
하부 전극 형성 Pt(175nm)/TI(30nm) CVDSBT 막형성 (300nm)
SBT 막결정화 처리 (확산로 어닐: 웨이퍼 750℃, 30min, O2 분위기)
상부 전극 형성 Pt (200nm)
어닐: 650℃, O2, 30min
종래 반응 산소 (예: 200sccm) 는, 실온 상태에서 기화관에 넣어져 있던 유기 금속 가스가 냉각되어, 기화관에 부착ㆍ퇴적하였다.
기화부 하부에서 공급하는, 반응 산소의 온도 제어를 실시하는 경우, 종래, 스테인리스관 (1/4-1/16inch 외형, 길이 10-100cm) 의 외부에 히터를 감아서, 스테인리스관 외벽의 온도를 제어 (예: 219℃) 하였다.
스테인리스관 외벽의 온도 (예: 219℃)=내부를 흐르는 산소 (유량 200sccm) 의 온도라고 생각하였다.
그러나, 산소 온도를 미세한 열전쌍으로 측정하면, 상기 예에서는 약 35℃ 로 밖에, 승온되지 않았다.
그래서, 가열 후의 산소 온도를 직접 미세한 열전쌍으로 측정하여, 가열 히터 온도를 제어하여, 산소 온도를 정확히 제어하였다.
관을 흐르는 산소 등 가스를 승온시키는 것은 용이하지 않고, 가열관 내에 충전물을 넣어, 열교환 효율의 향상을 도모하여 가열된 산소 가스 온도를 측정하여 가열 히터 온도를 적정하게 제어하였다.
이러한 제어를 위한 수단이 도 20 에 히트 익스체인저이다.
(실시예 10)
도 14 에 실시예 10 을 나타낸다.
상기 실시예는, 단일의 원료 용액의 각각에 가스를 내뿜음으로써 분무화하여, 그 후 분무화한 원료 용액을 혼합하는 것이었지만, 본 예는 복수의 원료 용액을 혼합하고, 이어서, 혼합 원료 용액을 분무화하기 위한 장치이다.
본 예는, 원료 용액 (5a, 5b) 을 공급하는 복수의 용액 통로 (130a, 130b) 와, 복수의 용액 통로 (130a, 130b) 에서 공급되는 복수의 원료 용액 (5a, 5b) 을 혼합하는 혼합부 (109) 와, 일단이 혼합부 (109) 에 연통하고, 기화부 (22) 측이 되는 출구 (107) 를 갖는 공급 통로 (110) 와, 공급 통로 (110) 내에서, 혼합부 (109) 로부터 나온 혼합 원료 용액에, 캐리어 가스 또는 캐리어 가스와 산소의 혼합 가스를 내뿜도록 배치된 가스 통로 (120) 와, 공급 통로 (110) 내를 냉각하기 위한 냉각 수단이 형성되어 있는 분산기 (150) 와,
일단이 MOCVD 장치의 반응관에 접속되어, 타단이 분산기 (150) 의 출구 (107) 에 접속된 기화관과, 기화관을 가열하기 위한 가열 수단 (2) 을 가지고, 상기 분산기 (150) 로부터 보내어진, 원료 용액을 함유하는 가스를 가열하여 기화시키기 위한 기화부 (22) 를 가지고,
출구 (107) 의 외측에 세공 (101) 을 갖는 복사열 방지재 (102) 가 배치되어 있다.
본 예에서는, 혼합해도 반응이 진행하지 않는 원료 용액에 유효하고, 일단 혼합 후 분무화하기 때문에, 분무화 후 혼합하는 경우에 비해서 조성이 정확해진다. 또한, 혼합부 (109) 에서의 혼합 원료 용액의 조성을 분석하기 위한 수단 (도시 생략) 을 형성해 두고, 분석 결과에 근거하여 원료 용액 (5a, 5b) 의 공급량을 제어하면 한층 더 정확한 조성을 얻는 것이 가능해진다.
또한, 본 예에서는, 로드 (도 1 의 10) 를 사용할 필요가 없기 때문에, 로드를 전파한 열이 공급 통로 (110) 내를 가열하는 일이 없다. 또한, 분무화 후 혼합하는 경우에 비해서 공급 통로 (110) 의 단면적을 작게 할 수 있고, 나아가서는 출구 (107) 의 단면적을 작게 할 수 있기 때문에 복사에 의해 공급 통로 (110) 내를 가열하는 일도 적다. 따라서, 복사 방지부 (102) 를 형성하지 않고도 결정의 석출 등을 적게 할 수 있다. 단, 한층 더 결정의 석출 등을 방지하고자 하는 경우에는 도 14 에 나타낸 바와 같이 복사 방지부 (102) 를 형성해도 된다.
또, 이상의 실시예에 있어서, 세공은 하나의 예를 나타내었지만 물론 복수라도 된다. 또한, 세공의 직경으로서는 2mm 이하가 바람직하다. 복수 형성하는 경우에는 더욱 작은 직경으로 하는 것도 가능하다.
또한, 이상의 실시예에 있어서, 캐리어 유로와 원료 용액 도입구가 예각 (30 도) 인 경우, 용액은 가스에 당겨진다. 90 도 이상이면, 용액은 가스에 밀쳐진다. 따라서, 30∼90°가 바람직하다. 구체적으로는, 용액의 점도ㆍ유량으로부터 최적의 각도가 결정된다. 점도가 큰 경우나 유량이 큰 경우에는 보다 예각으로 함으로써, 용액이 원활히 흐른다. 따라서, 실시에 있어서는, 점도ㆍ유량에 대응하는 최적 각도를 미리 실험 등에 의해 구해두면 된다.
또한, 이상의 실시예에 있어서, 샤워 헤드와 서셉터 사이의 공간의 거리를 임의의 거리로 제어하기 위한 기구를 형성하는 것이 바람직하다.
또한, 원료 용액의 유량을 제어하기 위한 매스플로 컨트롤러를 형성함과 함께, 그 액체 매스플로 콘트롤러의 상류측에 탈기하기 위한 탈기 수단을 형성하는 것이 바람직하다. 탈기하지 않고, 매스플로 컨트롤러에 원료 용액을 도입하면 막형성된 막의 편차가 동일 웨이퍼상 또는 다른 웨이퍼끼리와의 사이에서 발생된다. 헬륨 등을 탈기 후에 매스플로 컨트롤러에 원료 용액을 도입함으로써 상기 막두께의 편차가 현저히 감소한다.
원료 용액 및 헬륨 압송 용기 및 액체 매스플로 컨트롤러 및 전후의 배관의 온도를 일정 온도로 제어하기 위한 수단을 형성함으로써 한층 더 막두께의 편차를 방지할 수 있다. 또한, 화학적으로 불안정한 원료 용액의 변질을 막을 수도 있다. SBT 박막을 형성할 때는, 5℃∼20℃ 의 범위로 정밀하게 제어한다. 특히 12℃±1℃ 가 바람직하다.
또한, 도 22, 도 23 에 나타내는 바와 같은 규소기판 등의 기판 표면으로 소정의 가스를 내뿜어 그 기판 표면으로 표면 처리를 실시하는 기판 표면 처리 장치에 있어서, 열매체의 관류를 위한 열매체 입구 (320) 와 접속된 상류환 (301) 과, 상기 소정의 열매체의 열매체 출구 (321) 와 접속된 하류환 (302) 과, 상기 상류환 (1) 과 하류환 (2) 사이를 서로 평행 방향으로 접속시켜, 상기 열매체의 유로를 형성하는 적어도 2 개의 열전달로 (303a, 303b) 를 가지고, 인접하는 상기 열전달로 (303a, 303b) 사이의 상기 상류환 (1) 으로부터 하류환 (302) 으로의 유로 방향을 교대로 하여, 상기 가스를 소정의 온도로 하기 위한 열매체 순환로가 구성된 것으로 하는 것이 바람직하다.
또한, 상기 기판 표면 처리 장치는, 또한, 상기 열매체 순환로 내의 소정 평면 내이고, 상기 평행 방향의 상기 열매체 유로의 형성된 평면 내에 상기 열매체 순환로와 열적으로 접속된 열변환판 (304) 을 가지고, 그 열변환판 (304) 의 상기 평면 내를 상기 열매체에 의해 거의 균일 온도로 가열하는 것을 가능하게 하는 것이 바람직하다.
또한, 상기 열변환판 (304) 의 상기 평면 내에는, 그 평면의 수직 방향으로 상기 소정의 가스를 통과시키는 복수의 통기 구멍이 형성되어, 그 통기 구멍을 통과하는 상기 소정의 가스를, 상기 평면 내에서 거의 균일 온도로 가열하는 것을 가능하게 하는 것이 바람직하다.
이로 인해, 열매체 순환로의 인접하는 열전달로 사이의 상류환로부터 하류환으로의 유로 방향이 교대로서 구성된다. 이로 인해, 열전달로에 인접하는 영역의 온도차가 고/저/고/저ㆍㆍㆍㆍ로 구성된다. 본 구성에 의해, 열변환판을 균일하게 가열, 또는 냉각하는 것이 가능해진다. 또한, 추가로, 평행 방향의 열매체의 유로가 형성된 평면 내에 열매체 순환로와 열적으로 접속된 열변환판을 가지고 있다. 따라서, 이 열변환판의 평면 내를 열매체에 의해 거의 균일 온도로 가열하는 것을 가능하게 한다.
(실시예 11)
도 27 에 나타내는 장치는, 띠 형상 기체 (7420) 를 연속적으로 보내면서 그 띠 형상 기체 (7420) 의 표면에 막형성을 하기 위한 막형성 장치에 있어서, 그 표면에 대향시켜, 도 25 에 나타내는 기화 장치를 복수개 (7421a, 7421b,ㆍㆍㆍㆍ7421g) 형성하고 있다. 이 기화 장치는 본 발명에 관계된 기화 장치이다.
어느 1 개의 막형성 장치를 세정 중에, 다른 막형성 장치를 계속 가동시키면 연속 막형성이 가능해진다. 특히, 산화물 초전도체의 제조에 바람직하다. 예를 들어, 30 분 막형성하여, 세정에 많아야 5 분을 필요하게 하면, 막형성 시간은 전체의 (30/35=6/7) 이 된다. 따라서, 7 대의 기화 장치 (7421a∼7421g) 를 연속적으로 형성해 두면, 7421a 에서 30 분의 막형성을 하고 있는 사이에 (7421b∼7421g) 를 순서대로 5 분간 세정이 실시된다.
간단히 막힘을 방지하는 것이 가능해진다.
장치의 막힘의 진행 상황을 파악하는 것이 가능해진다.
완전한 막힘이 생기기 전에 막힘의 제거를, 장치의 분해를 수반하는 일 없이 실시하는 것이 가능해진다.

Claims (18)

  1. 가스 통로의 일단으로부터 캐리어 가스를 도입하고, 그 가스 통로의 타단으로부터 원료 용액을 함유하는 캐리어 가스를 기화부에 보내어 기화시키는 기화 장치로서,
    그 가스 통로의 일단에 유량 제어 장치 (MFC) 를 형성함과 함께, 그 가스 통로 내에서의 압력을 검지하기 위한 수단 (이하「압력 검지 수단」이라고 함) 을 형성한 것을 특징으로 하는 기화 장치.
  2. 제 1 항에 있어서, 상기 가스 통로 내에 퇴적 또는 부착한 것 (이하,「퇴적물 등」이라고 함) 을 용해하는 것이 가능한 약액을, 그 가스 통로 내에 도입하기 위한 수단 (이하「용해 약액 공급 수단」 이라고 함) 을 형성한 것을 특징으로 하는 기화 장치.
  3. 제 2 항에 있어서, 상기 약액은 상기 원료 용액의 용매인 것을 특징으로 하는 기화 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 상기 가스 통로는 그 타단은 다른 부분보다 가늘게 되어 있는 것을 특징으로 하는 기화 장치.
  5. 제 4 항에 있어서, 상기 타단의 직경은 2mm 이하인 것을 특징으로 하는 기화 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 압력 검지 수단으로부터의 신호를 표시하기 위한 수단을 형성한 것을 특징으로 하는 기화 장치.
  7. 가스 통로의 일단으로부터 캐리어 가스를 도입하고, 그 가스 통로의 타단으로부터 원료 용액을 함유하는 캐리어 가스를 기화부에 보내어 기화시키는 기화 방법으로서,
    그 가스 통로의 일단에 유량 제어 장치 (MFC) 를 형성함과 함께, 그 가스 통로 내에서의 압력을 검지하면서 기화시키는 것을 특징으로 하는 기화 방법.
  8. 제 7 항에 있어서, 상기 압력이 소정의 값 이상이 되었을 때에 퇴적물 등을 용해하는 것이 가능한 약액을 그 가스 통로 내에 도입하는 것을 특징으로 하는 기화 방법.
  9. 제 8 항에 있어서, 상기 약액은 상기 원료 용액의 용매인 것을 특징으로 하는 기화 방법.
  10. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서, 상기 가스 통로는 그 타단은 다른 부분보다 가늘게 되어 있는 것을 특징으로 하는 기화 방법.
  11. 제 10 항에 있어서, 상기 타단의 직경은 2mm 이하인 것을 특징으로 하는 기화 방법.
  12. 제 7 항 내지 제 11 항 중 어느 한 항에 있어서, 상기 압력을 표시하는 것을 특징으로 하는 기화 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 기재된 기화 장치를 구비한 것을 특징으로 하는 막형성 장치.
  14. 제 13 항에 있어서, 상기 막형성 장치는 MOCVD 장치인 것을 특징으로 하는 막형성 장치.
  15. 제 7 항 내지 제 12 항 중 어느 한 항에 기재된 기화 방법에 의해 기화시켜 막형성을 실시하는 것을 특징으로 하는 막형성 방법.
  16. 제 15 항에 있어서, 상기 막형성 방법은 MOCVD 방법인 것을 특징으로 하는 막형성 방법.
  17. 띠 형상 기체를 연속적으로 보내면서 그 띠 형상 기체의 표면에 막형성을 실하기 위한 막형성 장치에 있어서, 그 표면에 대향시켜, 제 2 항 내지 제 6 항 중 어느 한 항에 기재된 기화 장치를 복수개 형성한 것을 특징으로 하는 막형성 장치.
  18. 제 17 항에 기재된 막형성 장치를 사용한 막형성 방법으로서, 상기 복수의 기화기 중 어느 하나의 기화기에 있어서, 용해 약액 공급 수단을 온으로 하고, 다른 기화기에 있어서는 기화를 계속하여 연속적으로 막형성을 실시하는 것을 특징으로 하는 막형성 방법.
KR1020057016730A 2003-03-07 2004-03-08 기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법 KR20050106509A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00062577 2003-03-07
JP2003062577A JP2004273766A (ja) 2003-03-07 2003-03-07 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法

Publications (1)

Publication Number Publication Date
KR20050106509A true KR20050106509A (ko) 2005-11-09

Family

ID=32959063

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016730A KR20050106509A (ko) 2003-03-07 2004-03-08 기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법

Country Status (5)

Country Link
US (1) US20070166457A1 (ko)
EP (1) EP1608005A4 (ko)
JP (1) JP2004273766A (ko)
KR (1) KR20050106509A (ko)
WO (1) WO2004079806A1 (ko)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060037539A1 (en) * 2002-05-29 2006-02-23 Masayuki Toda Vaporizer, various apparatuses including the same and method of vaporization
JP3896594B2 (ja) * 2004-10-01 2007-03-22 株式会社ユーテック Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5427344B2 (ja) 2007-05-23 2014-02-26 株式会社渡辺商行 気化装置、及び、気化装置を備えた成膜装置
JP5141141B2 (ja) * 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5614935B2 (ja) * 2009-02-03 2014-10-29 株式会社渡辺商行 気化器、この気化器を用いたmocvd用気化器、これら気化器若しくはmocvd用気化器に用いられるセンターロッド、及びキャリアガスの分
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6151943B2 (ja) * 2013-03-26 2017-06-21 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP5615412B2 (ja) * 2013-07-24 2014-10-29 株式会社渡辺商行 気化装置、及び、気化装置を備えた成膜装置
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5845325B2 (ja) * 2014-09-09 2016-01-20 株式会社渡辺商行 気化装置、及び、気化装置を備えた成膜装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2771363B2 (ja) * 1991-09-26 1998-07-02 キヤノン株式会社 機能性堆積膜の連続的製造装置
JP3335492B2 (ja) * 1994-12-28 2002-10-15 三菱電機株式会社 薄膜の堆積装置
JPH11238726A (ja) * 1998-02-23 1999-08-31 Nissin Electric Co Ltd 液体原料供給装置
JP2002324794A (ja) * 2001-04-26 2002-11-08 Fujitsu Ltd 気相成長方法及び気相成長装置

Also Published As

Publication number Publication date
US20070166457A1 (en) 2007-07-19
WO2004079806A1 (ja) 2004-09-16
JP2004273766A (ja) 2004-09-30
EP1608005A1 (en) 2005-12-21
EP1608005A4 (en) 2008-11-05

Similar Documents

Publication Publication Date Title
KR20050106509A (ko) 기화 장치 및 그것을 사용한 막형성 장치, 그리고 기화방법 및 막형성 방법
JP4986163B2 (ja) Mocvd用気化器及び成膜装置
KR20040101309A (ko) 기화기 및 그것을 이용한 각종 장치와 기화방법
JP4391413B2 (ja) 気化器、分散器、成膜装置、及び、気化方法
KR20040091738A (ko) Cvd박막 퇴적의 방법
JP5016416B2 (ja) 気化器及び気化方法
KR20040007439A (ko) 강유전체 박막, 금속 박막 또는 산화물 박막 및 그제조방법, 제조장치 그리고 상기 박막을 사용한전자·전기 디바이스
JP4238239B2 (ja) 気化方法
JP2008205506A (ja) 気化器及びそれを用いた各種装置並びに気化方法
JP5185726B2 (ja) 気化器、薄膜形成装置及びmocvd装置
JP6014829B2 (ja) 気化器
JP5542103B2 (ja) 気化器
JP3987465B2 (ja) 気化器
JP2007258733A (ja) 気化方法及び成膜方法
JP2012094907A (ja) 気化器

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid