JP4666496B2 - 基板熱処理装置 - Google Patents

基板熱処理装置 Download PDF

Info

Publication number
JP4666496B2
JP4666496B2 JP2005353432A JP2005353432A JP4666496B2 JP 4666496 B2 JP4666496 B2 JP 4666496B2 JP 2005353432 A JP2005353432 A JP 2005353432A JP 2005353432 A JP2005353432 A JP 2005353432A JP 4666496 B2 JP4666496 B2 JP 4666496B2
Authority
JP
Japan
Prior art keywords
substrate
heat treatment
treatment plate
support
heat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005353432A
Other languages
English (en)
Other versions
JP2007158168A (ja
Inventor
茂宏 後藤
啓司 松近
彰彦 森田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Holdings Co Ltd
Dainippon Screen Manufacturing Co Ltd
Original Assignee
Screen Holdings Co Ltd
Dainippon Screen Manufacturing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Holdings Co Ltd, Dainippon Screen Manufacturing Co Ltd filed Critical Screen Holdings Co Ltd
Priority to JP2005353432A priority Critical patent/JP4666496B2/ja
Priority to US11/566,442 priority patent/US8608885B2/en
Publication of JP2007158168A publication Critical patent/JP2007158168A/ja
Application granted granted Critical
Publication of JP4666496B2 publication Critical patent/JP4666496B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0037Supports specially adapted for semi-conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Description

本発明は、半導体基板、液晶表示装置用ガラス基板、フォトマスク用ガラス基板、光ディスク用基板等(以下、単に「基板」と称する)の基板に対して熱処理を行う基板熱処理装置に係り、特に、熱処理プレートから微小空間を隔てて載置される基板を吸引した状態で熱処理する技術に関する。
近年、基板に形成されるパターンの線幅寸法の微細化に伴い、求められる線幅の均一性の要求値が厳しくなり、フォトリソグラフィのベーク熱処理、特に露光後のベーク(PEB:Post Exposure Bake)において温度均一性の要求が高まっている。しかし、基板の大口径化により半導体製造過程で発生する基板の反りも大きくなり、基板を熱処理プレートから微小空間を隔てて載置したのみで熱処理するプロキシミティ加熱方式では温度均一性の要求を満足させることが困難となっている。
そこで、反りが生じている基板に対しても均一な熱処理が行えるように、吸着ベーク方式が提案されている。この種の装置としては、ヒータが付設されている熱処理プレートと、熱処理プレートの上面に設けられる支持部材およびシール部と、熱処理プレートの上面に形成される排出孔とを備えたものが挙げられる(例えば、特許文献1および特許文献2参照)。
ここで、支持部材は、熱処理プレートの上面を加工して形成された凹凸部で構成され(特許文献1)、あるいは、樹脂でコーティングされた金属の突起部を熱処理プレートの上面に設けて構成されている(特許文献2)。また、シール部はリング状であり、基板の周縁端に当接する位置に配置されている。これらの装置によれば、支持部材により支持された基板と熱処理プレートとの間に形成される空間の側方をシール部によって密閉し、この空間から排出孔を通じて気体を排出することで基板を吸着する。これにより、基板の反りを矯正することができるので、基板を均一な温度に加熱することができる。
特開平2−290013号公報 特開平10−284360号公報
しかしながら、このような構成を有する従来例の場合には、次のような問題がある。
特許文献1では、凹凸部は熱処理プレートと同一素材であり、空気に比べて非常に熱伝導率が高い。このため、直接接触している凸部と基板との間の熱抵抗は、空間が介在し直接接触していない凹部と基板との間の熱抵抗に比べて非常に小さい。したがって、熱処理を行う際、凸部と接触している基板の部位(接触部位)には、接触していない基板の部位(非接触部位)に比べて、熱が速やかに伝達される。このため、特許文献1によれば、接触部位と非接触部位との間で温度の上昇速度が大きく異なり、基板面内にわたって熱履歴が大きくばらついてしまう。この結果、均一な線幅寸法のパターンを基板に形成することができない。
また、特許文献2では、突起部の表面を樹脂でコーティングしているのは金属汚染を防止するためであり、その内部を熱伝導率の高い金属として、突起部と接触している基板の部位(接触部位)へ積極的に熱を伝達することができる構成である。この結果、気体層から、突起部が接触していない基板の部位(非接触部位)への熱伝達は相対的に僅かである。このため、特許文献2によっても、接触部位と非接触部位との間で温度の上昇速度が大きく異なり、基板面内にわたって熱履歴が大きくばらついてしまうという不都合が生じる。
この発明は、このような事情に鑑みてなされたものであって、基板面内において、支持手段と接触している接触部位と支持手段に接触していない非接触部位との間で熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる基板熱処理装置を提供することを目的とする。
この発明は、このような目的を達成するために、次のような構成をとる。
すなわち、請求項1に記載の発明は、基板に対して熱処理を行う基板熱処理装置において、上面が平坦な熱処理プレートと、耐熱性を有する樹脂で形成され、前記熱処理プレートの上面に設けられて基板を当接支持する支持手段と、前記熱処理プレートの上面にリング状に設けられ、基板の周縁側と当接することで基板と前記熱処理プレートとの間に形成される空間を気密にするシール手段と、前記空間内の気体を排出するための排出孔と、を備え、前記支持手段は、凸部が形成されたシート状物であることを特徴とするものである。
[作用・効果]請求項1に記載の発明によれば、支持手段により支持された基板と熱処理プレートとの間に形成される気密な空間から気体を排出することで、基板を吸着して保持することができる。このため、基板と熱処理プレートとの離隔距離を均一にすることができる。さらに、支持手段を樹脂で形成し、この支持手段が設けられる熱処理プレートの上面を平坦とすることで、基板面内において、支持手段が接触している接触部位と支持手段が接触していない非接触部位との間で、熱の伝達速度との差を低減することができる。このため、基板面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。また、支持手段を簡易な構造とすることができる。
また、請求項2に記載の発明は、基板に対して熱処理を行う基板熱処理装置において、上面が平坦な熱処理プレートと、耐熱性を有する樹脂で形成され、前記熱処理プレートの上面に設けられて基板を当接支持する支持手段と、前記熱処理プレートの上面にリング状に設けられ、基板の周縁側と当接することで基板と前記熱処理プレートとの間に形成される空間を気密にするシール手段と、前記空間内の気体を排出するための排出孔と、を備え、前記支持手段は、粒状物と、前記粒状物を覆う被覆膜と、を備えていることを特徴とするものである。
[作用・効果]請求項2に記載の発明によれば、支持手段により支持された基板と熱処理プレートとの間に形成される気密な空間から気体を排出することで、基板を吸着して保持することができる。このため、基板と熱処理プレートとの離隔距離を均一にすることができる。さらに、支持手段を樹脂で形成し、この支持手段が設けられる熱処理プレートの上面を平坦とすることで、基板面内において、支持手段が接触している接触部位と支持手段が接触していない非接触部位との間で、熱の伝達速度との差を低減することができる。このため、基板面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。また、支持手段を簡易な構造とすることができる。
本発明において、前記支持手段は、多孔質部材であることが好ましい(請求項3)。支持手段を多孔質部材とすることで、接触部位と非接触部位との間で、熱の伝達速度との差をさらに低減することができる。
なお、本明細書は、次のような基板熱処理装置に係る発明も開示している。
(1)請求項1から請求項4に記載の基板熱処理装置において、前記支持手段と前記シール手段とが一体であることを特徴とする基板熱処理装置。
前記(1)に記載の発明によれば、支持手段とシール手段とを簡易な構造とすることができる。
この発明に係る基板熱処理装置によれば、支持手段により支持された基板と熱処理プレートとの間に形成される気密な空間から気体を排出することで、基板を吸着して保持することができる。このため、基板と熱処理プレートとの離隔距離を均一にすることができる。さらに、支持手段を樹脂で形成し、この支持手段が設けられる熱処理プレートの上面を平坦とすることで、基板面内において、支持手段が接触している接触部位と支持手段が接触していない非接触部位との間で、熱の伝達速度との差を低減することができる。このため、基板面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。
以下、図面を参照して本発明の実施例1を説明する。
図1は、実施例に係る基板熱処理装置の概略構成を示す縦断面図であり、図2は、熱処理プレートの平面図である。
処理対象である基板Wを載置する熱処理プレート1は、平面視基板Wよりやや大径の円形を呈し、その上面は平坦である。熱処理プレート1の材質としては熱伝導率の高い銅やアルミニウム等の金属が例示される。この熱処理プレート1には、マイカヒータなどの発熱体3が付設されている。発熱体3と熱処理プレート1の上面との間にあたる伝熱部5には、図示しないヒートパイプが複数本埋設されている。また、図示しない複数本のヒートパイプの間には、図示しない冷却溝が形成され、冷却用の流体が流通される。
この熱処理プレート1の上面には、基板Wの下面を当接支持する複数個(図2では55個)の支持部材11が設けられている。支持部材11は、耐熱性のある樹脂で形成されている。この樹脂は、耐薬性があることが好ましい。さらに、ポーラス化された多孔質部材であることが好ましい。具体的には、ポリイミド、ポリテトラフルオロエチレン(PTFE)、ポリクロロトリフルオロエチレン(PCTFE)、ポリエーテルエーテルケトン(PEEK)、ポリフェニレンスルフィド(PPS)、ポリフッ化ビニリデン(PVDF)、ポリエーテルスルフォン(PES)、ポリサルフォン(PSF)、ポリエーテルイミド(PEI)、または耐熱性ゴム材料が例示される。なお、支持部材11の熱伝導率については、ポリイミドは0.12W/m・Kであり、PTFEは0.25W/m・Kであり、銅(372W/m・K)やアルミニウム(183W/m・K)に比べて非常に小さく、空気(0.026W/m・K)により近い。
各支持部材11は円柱形状を呈し、基板Wと接触する上部から下部にかけて径が大きくなる。支持部材11の高さは40μmから120μmが好ましく、その径は0.1mmから2mmが好ましい。このような支持部材11は、熱処理プレート1の上面に規則的に連続して並べられた正三角形を仮想し(図2において各正三角形を一点鎖線で示す)、各正三角形の各頂点の位置に接着、または熱溶着により取り付けられている。このとき、支持部材11の1つが熱処理プレート1の中心点Pとなるように配置されている。支持部材11は、この発明における支持手段に相当する。
また、熱処理プレート1の上面には、平面視、基板Wの外径よりやや小径の内径を有するリング形状のシール部15が設けられている。シール部15の材質としては、耐熱性及び弾性を有するポリイミド樹脂が好ましい。また、その他に、フッ素樹脂が利用可能である。なお、シール部15の材質は、支持部11の材質と同じである必要はない。そして、シール部15の通常時の高さは、基板を吸着しているときのつぶししろの分だけ、支持部材11の高さに比べて高くすることが好ましい。これにより、基板Wの周縁側がこのシール部15に当接することで支持部材11と同じ高さまで収縮して、支持部材11に支持される基板Wと熱処理プレート1との間に形成される微小空間(プロキシミティギャップともいう)msを気密にする。なお、シール部15は、この発明におけるシール手段に相当する。
さらに、熱処理プレート1の上面には、微小空間ms内の気体を排出する排出孔17が形成されている。排出孔17は4個であり、それぞれ周方向に等間隔に設けられている。各排出孔17は熱処理プレート1の下端側へ貫通している。これら排出孔17には排出配管21の一端側が共通して連通接続され、その他端側に真空吸引源23が連通接続されている。この真空吸引源23は、例えば、クリーンルームに設けられたバキュームのユーティリティである。排出配管21には、微小空間ms内の圧力(負圧)を調整する圧力調整弁25と、圧力を計測する圧力計27とが設けられている。なお、さらに、真空破壊弁を備えた開閉弁を備えるように構成してもよい。排出配管21と真空吸引源23とは、排出手段として機能する。
さらに、熱処理プレート1には、図示しない搬送手段との間で基板Wの受け渡しを行う受け渡し部材31が設けられている。受け渡し部材31の形状は棒状体であり、材質としてはセラミック等が例示される。本実施例では、平面視熱処理プレート1の中心点Pを重心とする正三角形の各頂点であって支持部材11を避けた位置に、3個の貫通孔33が熱処理プレート1を上下に貫くように形成されており、各貫通孔33にそれぞれ受け渡し部材31が挿通されている。各受け渡し部材31の下端は、単一の支持ベース35に共通して接続されている。支持ベース35は、エアシリンダ37の作動軸に連結されている。エアシリンダ37は、支持ベース35を上下に昇降駆動する。これら受け渡し部材31と支持ベース35とエアシリンダ37とは、基板受け渡し部として機能している。
制御部41は、上述した発熱体3の出力と、圧力調整弁25の開閉と、真空吸引源23の駆動と、エアシリンダ37の駆動を統括的に操作する。これらの操作は、予め記憶されているレシピに基づいて行われる。さらに、圧力調整弁25の開閉操作は、圧力計27の検出結果に基づいて行われる。制御部41は、各種処理を実行する中央演算処理装置(CPU)や、演算処理の作業領域となるRAM(Random-Access Memory)や、各種情報を記憶する固定ディスク等の記憶媒体等によって実現されている。
次に、上記のように構成されている基板熱処理装置の動作について図3を参照して説明する。図3は、基板熱処理装置による処理手順を示すフローチャートである。なお、発熱体3の温度制御等はレシピに応じて既に行われているものとし、以下の説明においては省略する。
<ステップS1> 基板Wを搬入する
図示しない搬送手段によって水平姿勢の基板Wが搬入されると、制御部41はエアシリンダ37を駆動して支持ベース35を上昇させる。受け渡し部材31は、熱処理プレート1の上面から上方へ突出して基板Wを受け取る。その後、エアシリンダ37を逆向きに駆動して、受け渡し部材31を下降させる。基板Wは支持部材11に支持され、基板Wと熱処理プレート1との間に微小空間msが形成される。また、基板Wはその周縁部においてシール部15に支持される。
<ステップS2> 基板Wを吸着する
制御部41は、真空吸引源23を駆動するとともに圧力調整弁25を操作する。これにより、微小空間ms内の気体(空気や窒素)は、排出孔17及び排出配管21を介して排出され、微小空間ms内の圧力は負圧に調整される。これにより、基板Wは熱処理プレート1側に吸引される。したがって、反りが生じている基板Wであっても、支持部材11とシール部15に沿うように矯正される。
図4および図5を参照して具体的に説明する。基板Wの反りとしては、図4(a)に示すように基板Wの中央部が上方に突出するように反ったもの(山型反り)と、図5(a)に示すように基板Wの中央部が下方に突出するように反ったもの(谷型反り)がある。
中央部が上方に突出した基板Wでは、基板Wを載置した時点で既に基板Wとシール部15が当接して微小空間msは気密になっているので、吸引により基板Wの中央部が熱処理プレート1側に、各支持部材11に当接するまで引き寄せられる。これにより、基板Wの反りは、図4(b)に示すように略平坦に矯正される。一方、中央部が下方に突出した基板Wでは、基板Wを載置した時点ではシール部15は基板Wに当接しないので、微小空間msの側方は開放されている。しかし、この状態で吸引することにより、周囲から基板Wとシール部15との間を通じて微小空間ms内に気体が流入してベルヌーイ効果が生じ、基板Wの周縁部が下方に引き寄せられる(図5(a)において空気の流れを二点鎖線で示す)。やがて、シール部15が基板Wの周縁部に当接することで、微小空間msは気密になり、基板Wの反りは、図5(b)に示すように略平坦に矯正される。
<ステップS3> 基板Wを熱処理する
吸着支持されている基板Wに対して、予め決められた時間だけこの状態を保持することにより、基板Wに対して所定の熱処理を施す。このとき、基板W面内において、支持部材11が接触している接触部位Cには支持部材11から熱が伝達される。また、支持部材11が接触していない非接触部位Dには、熱処理プレート1によって加熱された微小空間msの気体から熱伝導によって伝達される。
<ステップS4> 基板Wを搬出する
所定時間の熱処理を終えると、制御部41は、真空吸引源23を停止させるとともに圧力調整弁25を閉止して、微小空間ms内の排気を停止して、微小空間ms内の圧力を大気圧にする。これにより、基板Wの吸引が解除される。次いで、エアシリンダ37を駆動して受け渡し部材31を上昇させ、基板Wを上方へ持ち上げる。この状態で、図示しない搬送手段により基板Wを搬出する。
このように、本基板熱処理装置によれば、微小空間msから気体を排出することで、基板Wを吸着して保持できる。このため、基板Wと熱処理プレート1との離隔距離を均一にできる。また、支持部材11を樹脂で形成して支持部材11自体の熱伝導率を空気の熱伝導率に近づけたことで、金属を材料とする支持部材を用いた場合に比べて基板W面内において接触部位Cと非接触部位Dとの間で熱の伝達速度との差を低減できる。さらに、熱処理プレート1の上面を平坦としたことで、凹凸部が形成された熱処理プレートを用いた場合に比べて基板W面内において接触部位Cと非接触部位Dとの間で熱の伝達速度との差を低減できる。よって、熱処理の際、基板Wにおいて接触部位Cと非接触部位Dとの温度の上昇速度の差を小さくすることができる。したがって、基板W面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。この結果、均一な線幅寸法のパターンを基板に形成することができる。
また、支持部材11は点接触によって基板Wを支持するので、基板Wとの接触面積を低減できるので、基板W面内において熱履歴のばらつきをさらに抑制できる。また、パーティクルの発生も防止できる。また、支持部材11の上部から下部にかけて径を大きくしているため、基板Wを吸着しているときに支持部材11が座屈することを防ぐことができる。また、支持部材11の上部の端縁が欠ける等の損傷を受けにくい。また、支持部材11の樹脂を多孔質部材とすることで、基板W面内において接触部位の熱の伝達速度を、非接触部位の熱の伝達速度にさらに近づけることができる。
以下、図面を参照して本発明の実施例2を説明する。なお、実施例1と同じ構成については同符号をふすことで詳細な説明を省略する。図6は、熱処理プレートの平面図である。
実施例2は、実施例1に比べて支持部材12を備えた点が異なる。すなわち、支持部材12は複数(4個)であり、それぞれ異なる径を有する円環形状を呈し、互いに同心となるように中心点Pを中心として熱処理プレート1の上面に配置されている。また、各支持部材12の各所には通気孔として機能する溝18が形成されている。これにより、支持部材12が基板Wと当接しているときであっても各支持部材12の内周側と外周側とは連通されている。なお、支持部材12の材質や高さは、実施例1で説明した支持部材11と同様である。支持部材12は、この発明における支持手段に相当する。
このような実施例2にかかる基板熱処理装置において、基板Wを吸着する際の動作について説明する。
基板Wと熱処理プレート1との間には、支持部材12ごとに分断されることなく、溝18によって連通する単一の微小空間msが形成されている。制御部41が真空吸引源23および圧力調整弁25を操作して、微小空間ms内の圧力は負圧に調整する。基板Wは、熱処理プレート1側に吸引され、支持部材12とシール部15に沿うように矯正される。
このように、実施例2に係る基板熱処理装置によっても、基板Wと熱処理プレート1との離隔距離を均一にして保持できる。また、支持部材12を樹脂で形成し、熱処理プレート1の上面を平坦とすることで、基板W面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。
以下、図面を参照して本発明の実施例3を説明する。なお、実施例1と同じ構成については図示を省略し、または、同符号をふすことで詳細な説明を省略する。図7は、熱処理プレートの要部の断面図である。実施例3は、実施例1に比べて支持部材11とシール部15とに変えて支持シール部材13を備えた点が異なる。すなわち、支持シール部材13は熱処理プレート1の全面を覆うシート状の基材13aに複数の凸部13bと、基板Wの外径よりやや小径の内径を有するリング形状の畝部13cが設けられている。凸部13bは基板Wを当接支持し、畝部13cは微小空間msを気密にする。この凸部13bの配置は実施例1の支持部材11の配置と同様である。なお、支持シール部材13には、排出孔17および貫通孔33に対向する位置に開口が形成されている。
各凸部13bは円柱形状を呈し、基板Wと接触する上部から下部にかけて径が大きくなる。この高さは基材13aの厚みを含めて70μmから250μmが好ましく、また基材13aの厚みを除くと(基材13aの上面からの突出高さは)40μmから120μmが好ましい。また、畝部13cの高さは凸部13bの高さと等しい。また、凸部13bの径は0.1mmから2mmが好ましく、畝部13cの幅としては0.01mmから2mmである。また、支持シール部材13の材質は、実施例1で説明した支持部材11と同様である。このような支持シール部材13は、樹脂シート(樹脂膜、または樹脂フィルム)をエッチング処理することにより得られる。ただし、支持シール部材13の製作方法はこれに限られるものではなく、基材13a上に凸部13bと畝部13cをそれぞれ熱溶着により設けてもよい。また、支持シール部材13を一体に成形してもよいし、樹脂シートの打ち抜きやレーザー加工によっても作ることができる。
支持シール部材13は、熱処理プレート1の端部においてボルト51等によって機械的に固定されている。なお、支持シール部材13を熱処理プレート1の上面に耐熱性接着材等により接着してもよい。支持シール部材13は、この発明における支持手段およびシール手段に相当する。
このような実施例3にかかる基板熱処理装置において、基板Wを熱処理する際の動作について説明する。
基板Wを熱処理するとき、基板W面内において、支持シール部材13の凸部13bが接触している接触部位Eには支持シール部材13から熱が伝達される。また、凸部13aが接触していない非接触部位Fには、支持シール部材13の基材13aを介して熱処理プレート1によって加熱された微小空間msの気体から熱伝導によって伝達される。
このように、実施例3に係る基板熱処理装置によっても、基板Wと熱処理プレート1との離隔距離を均一にして保持できる。また、支持シール部材13を樹脂で形成し、熱処理プレート1の上面を平坦とすることで、基板W面内において熱履歴のばらつきを抑制しつつ、基板を好適に熱処理することができる。
また、微小空間msの気体は基材13aを介して熱処理プレート1によって加熱されるので、熱処理プレート1の温度分布に僅かなばらつき(たとえば±0.2度)があっても、そのばらつきが吸収されて微小空間msの気体は均一に加熱される。よって、微小空間msの気体から基板Wへの熱伝導を均一にすることができる。
また、支持シール部材13は凸部13bと畝部13cとを備えるので、簡易な構造とすることができる。さらに、畝部13cは基材13aおよび凸部13bと一体であるので、実施例1で示したシール部15のように支持部材11と分離して取り付ける場合に比べて熱処理プレート1からの剥がれや脱落を防止することができる。
以下、図面を参照して本発明の実施例4を説明する。なお、実施例1と同じ構成については同符号をふすことで詳細な説明を省略する。図8は、熱処理プレートの要部の断面図である。実施例3は、実施例1に比べて支持部材11に変えて支持部材14を備えた点が異なる。すなわち、支持部材14は、粒状物14aと、この粒状物14aを覆う被覆膜14bとを備えている。さらに、支持部材14の下層には、熱処理プレート1の上面をコーティングする樹脂層14cとを備えている。
樹脂層14cは、熱処理プレート1のシール部15より内側に塗布形成される。粒状物14aはこの樹脂層14cの上に配置され、被覆膜14bはこの粒状物14aを覆うように形成される。粒状物14aが配置された支持部材14の位置は、配置されていない部分に比べて隆起しており、この隆起している箇所で基板Wを当接支持する。なお、支持部材14の材質は、実施例1の支持部材11と同様である。ただし、粒状物14aと被覆膜14bと樹脂層14cとが同一の素材でなくてもよい。支持部材14は、この発明における支持手段に相当する。
このように、実施例4に係る基板熱処理装置によっても、基板Wと熱処理プレート1との離隔距離を均一にして保持できる。また、支持部材14を樹脂で形成し、熱処理プレート1の上面を平坦とすることで、基板W面内において熱履歴のばらつきを抑制しつつ、基板Wを好適に熱処理することができる。
この発明は、上記実施形態に限られることはなく、下記のように変形実施することができる。
(1)上述した実施例1では、支持部材11の配置を例示したが、この配置は適宜に選択変更することができる。
(2)上述した実施例1、3では、支持部材11および凸部13bは、その上部から下部にかけて径を大きくしていたが、特にこの形状に限られるものではなく、上部から下部にわたって同じ径としてもよい。
(3)上述した実施例2では、各支持部材12には溝18が形成されていたが、これに限られない。各支持部材12の内周側と外周側とで連通することができれば、適宜に変更することができる。たとえば、各支持部材12に溝18を形成せずに、熱処理プレート1に溝または貫通孔を形成するようにしてもよい。
(4)上述した実施例3では、凸部13bの配置は実施例1の支持部材11の配置と同様としたが、これに限られるものではない。たとえば、実施例2の支持部材12と同様に同心円状に配置された円形環状に沿うように凸部を連ねて構成してもよい。
(5)上述した実施例4では、支持部材14は、粒状物14aと被覆膜14bと樹脂層14cとを備えていたが、樹脂層14cを省略して直接粒状物14aを熱処理プレート1の上面に配置するように構成してもよい。
(6)上述した各実施例では、基板Wが円形である場合であったが、これに限られず、矩形状等の基板を処理対象としてもよい。この場合は、基板の形状に合わせてシール部15の形状を円環形状から適宜に変更することができる。
(7)上述した各実施例では、伝熱部5にヒートパイプを埋設した構成を例に採って説明したが、ヒートパイプを用いていない基板熱処理装置であっても適用することができる。
実施例1に係る基板熱処理装置の概略構成を示す縦断面図である。 実施例1に係る熱処理プレートの平面図である。 基板熱処理装置による処理手順を示すフローチャートである。 中央部が熱処理プレートと反対側に突出して反った基板の処理を示す説明図である。 中央部が熱処理プレート側に突出して反った基板の処理を示す説明図である。 実施例2に係る熱処理プレートの平面図である。 実施例3に係る熱処理プレートの要部の断面図である。 実施例4に係る熱処理プレートの要部の断面図である。
符号の説明
1 …熱処理プレート
11、12 …支持部材
13 …支持シール部材
13b …凸部
13c …畝部
14 …支持部材
14a …粒状物
14b …被覆膜
15 …シール部
17 …排出孔
21 …排出配管
23 …真空吸引源
25 …圧力調整弁
27 …圧力計
41 …制御部
W …基板
ms …微小空間
C、E …接触部位
D、F …非接触部位

Claims (3)

  1. 基板に対して熱処理を行う基板熱処理装置において、
    上面が平坦な熱処理プレートと、
    耐熱性を有する樹脂で形成され、前記熱処理プレートの上面に設けられて基板を当接支持する支持手段と、
    前記熱処理プレートの上面にリング状に設けられ、基板の周縁側と当接することで基板と前記熱処理プレートとの間に形成される空間を気密にするシール手段と、
    前記空間内の気体を排出するための排出孔と、
    を備え
    前記支持手段は、凸部が形成されたシート状物であることを特徴とする基板熱処理装置。
  2. 基板に対して熱処理を行う基板熱処理装置において、
    上面が平坦な熱処理プレートと、
    耐熱性を有する樹脂で形成され、前記熱処理プレートの上面に設けられて基板を当接支持する支持手段と、
    前記熱処理プレートの上面にリング状に設けられ、基板の周縁側と当接することで基板と前記熱処理プレートとの間に形成される空間を気密にするシール手段と、
    前記空間内の気体を排出するための排出孔と、
    を備え、
    前記支持手段は、
    粒状物と、
    前記粒状物を覆う被覆膜と、
    を備えていることを特徴とする基板熱処理装置。
  3. 請求項1または請求項2に記載の基板熱処理装置において、
    前記支持手段は、多孔質部材であることを特徴とする基板熱処理装置。
JP2005353432A 2005-12-07 2005-12-07 基板熱処理装置 Active JP4666496B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005353432A JP4666496B2 (ja) 2005-12-07 2005-12-07 基板熱処理装置
US11/566,442 US8608885B2 (en) 2005-12-07 2006-12-04 Substrate heat treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005353432A JP4666496B2 (ja) 2005-12-07 2005-12-07 基板熱処理装置

Publications (2)

Publication Number Publication Date
JP2007158168A JP2007158168A (ja) 2007-06-21
JP4666496B2 true JP4666496B2 (ja) 2011-04-06

Family

ID=38158097

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005353432A Active JP4666496B2 (ja) 2005-12-07 2005-12-07 基板熱処理装置

Country Status (2)

Country Link
US (1) US8608885B2 (ja)
JP (1) JP4666496B2 (ja)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4707593B2 (ja) * 2006-03-23 2011-06-22 大日本スクリーン製造株式会社 熱処理装置と基板吸着方法
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP4980156B2 (ja) * 2007-06-29 2012-07-18 大日商事株式会社 ウエハ吸着板及びその製作方法
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010194685A (ja) * 2009-02-26 2010-09-09 Tokyo Electron Ltd 作業台
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN105196094B (zh) 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
JP5542743B2 (ja) * 2010-10-07 2014-07-09 東京エレクトロン株式会社 熱処理装置および熱処理方法
WO2012140987A1 (ja) * 2011-04-12 2012-10-18 東京エレクトロン株式会社 剥離装置、剥離システム及び剥離方法
JP2012245597A (ja) * 2011-05-31 2012-12-13 Kyocera Corp 搬送アームおよびそれを用いた吸着装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5661597B2 (ja) * 2011-11-14 2015-01-28 東京エレクトロン株式会社 基板保持体の再生方法
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9076834B2 (en) * 2012-09-28 2015-07-07 United Microelectronics Corp. Spacer for thermal plate in semiconductor processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102200053B1 (ko) * 2013-02-13 2021-01-08 엔테그리스, 아이엔씨. 중합체 양각을 갖는 진공 척
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6247566B2 (ja) * 2014-02-28 2017-12-13 東洋炭素株式会社 加熱処理容器、加熱処理容器集合体、及び、半導体素子製造装置
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
SG11201608905XA (en) 2014-05-21 2016-12-29 Applied Materials Inc Thermal processing susceptor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6709726B2 (ja) * 2015-12-18 2020-06-17 日本特殊陶業株式会社 基板保持装置、基板保持部材および基板保持方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
SG11201807703YA (en) * 2016-03-18 2018-10-30 Acm Res Shanghai Inc Substrate heat treatment apparatus
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6650345B2 (ja) * 2016-05-26 2020-02-19 日本特殊陶業株式会社 基板保持装置及びその製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018120978A (ja) * 2017-01-26 2018-08-02 株式会社Screenホールディングス 熱処理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6867226B2 (ja) * 2017-05-01 2021-04-28 日本特殊陶業株式会社 真空吸着部材
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019094421A1 (en) * 2017-11-10 2019-05-16 Applied Materials, Inc. Patterned chuck for double-sided processing
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3542997B1 (de) * 2018-03-23 2023-08-16 KOCH Pac-Systeme GmbH Siegelvorrichtung und verfahren zum siegeln eines deckmaterials auf siegelbereiche einer blisterbahn oder einer blisterhaube
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020021922A (ja) * 2018-07-24 2020-02-06 住友電気工業株式会社 基板加熱ユニットおよび表面板
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11177146B2 (en) 2019-10-31 2021-11-16 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7402430B2 (ja) * 2020-01-29 2023-12-21 住友電気工業株式会社 基板保持台、及び基板加熱装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08279548A (ja) * 1993-12-28 1996-10-22 Sharp Corp ホットプレート型のプロキシミティベーク炉に使用するピン及びそれを使用した炉
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP2003022947A (ja) * 2001-07-05 2003-01-24 Tokyo Electron Ltd 熱処理装置
JP2004288717A (ja) * 2003-03-19 2004-10-14 Tokyo Electron Ltd 基板処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3904352A (en) * 1974-01-17 1975-09-09 Coors Porcelain Co Assembly and method for supporting ceramics and the like during firing
US4218413A (en) * 1978-12-18 1980-08-19 Conoco, Inc. High pore volume alumina powders
JPH02290013A (ja) 1989-04-28 1990-11-29 Tokyo Electron Ltd 温度処理方法
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
WO2002091457A1 (fr) * 1999-12-09 2002-11-14 Ibiden Co., Ltd. Plaque ceramique pour appareil de production/controle de semi-conducteurs
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US8003919B2 (en) * 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08279548A (ja) * 1993-12-28 1996-10-22 Sharp Corp ホットプレート型のプロキシミティベーク炉に使用するピン及びそれを使用した炉
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP2003022947A (ja) * 2001-07-05 2003-01-24 Tokyo Electron Ltd 熱処理装置
JP2004288717A (ja) * 2003-03-19 2004-10-14 Tokyo Electron Ltd 基板処理装置

Also Published As

Publication number Publication date
US8608885B2 (en) 2013-12-17
US20070128570A1 (en) 2007-06-07
JP2007158168A (ja) 2007-06-21

Similar Documents

Publication Publication Date Title
JP4666496B2 (ja) 基板熱処理装置
JP4707593B2 (ja) 熱処理装置と基板吸着方法
JP4666473B2 (ja) 基板熱処理装置
US8003919B2 (en) Substrate heat treatment apparatus
JP2007158077A (ja) 基板熱処理装置
JP2007158074A (ja) 基板熱処理装置
US10586719B2 (en) Substrates support apparatus, substrate treating system including the same, and substrate treating method
US11424149B2 (en) Substrate transfer mechanism to reduce back-side substrate contact
JP2006339485A (ja) 基板熱処理装置
US20190273005A1 (en) Substrate treating apparatus and substrate treating system
US20180254206A1 (en) Rotor cover
JP2007158075A (ja) 基板熱処理装置
JP2007158076A (ja) 基板熱処理装置
US11004704B2 (en) Finned rotor cover
KR20190068121A (ko) 베이크공정용 가열유닛 및 이를 포함하는 베이크장치
KR20220032622A (ko) 에칭 챔버를 위한 저 접촉 면적 기판 지지부
US11495476B2 (en) Substrate treating apparatus
JP6683579B2 (ja) 基板処理装置および基板処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110106

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4666496

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140121

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250