CN100590804C - 原子层沉积方法以及形成的半导体器件 - Google Patents

原子层沉积方法以及形成的半导体器件 Download PDF

Info

Publication number
CN100590804C
CN100590804C CN200710042461A CN200710042461A CN100590804C CN 100590804 C CN100590804 C CN 100590804C CN 200710042461 A CN200710042461 A CN 200710042461A CN 200710042461 A CN200710042461 A CN 200710042461A CN 100590804 C CN100590804 C CN 100590804C
Authority
CN
China
Prior art keywords
precursor gases
layer
individual layer
atomic layer
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200710042461A
Other languages
English (en)
Other versions
CN101330015A (zh
Inventor
季华
季明华
三重野文健
张复雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN200710042461A priority Critical patent/CN100590804C/zh
Priority to US12/141,040 priority patent/US8158512B2/en
Publication of CN101330015A publication Critical patent/CN101330015A/zh
Application granted granted Critical
Publication of CN100590804C publication Critical patent/CN100590804C/zh
Priority to US13/419,943 priority patent/US20120168853A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42348Gate electrodes for transistors with charge trapping gate insulator with trapping site formed by at least two separated sites, e.g. multi-particles trapping site
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种原子层沉积方法,包括:第一前体气体流向原子层沉积室内的半导体衬底,形成离散的第一单层;惰性吹扫气体流向在原子层沉积室内的半导体衬底;第二前体气体流向原子层沉积室,与形成第一单层的第一前体气体反应,形成离散的第一化合物单层;惰性吹扫气体流向在原子层沉积室;在半导体衬底上形成第一介电层,在第一介电层上形成离散的第二单层,并在第一介电层上形成覆盖第二单层的第二介电层。所述方法在半导体衬底上形成一层以上离散的化合物单层。本发明还提供一种半导体器件,器件的捕获电荷层为采用原子沉积方法形成的一层以上离散的化合物单层。

Description

原子层沉积方法以及形成的半导体器件
技术领域
本发明涉及半导体制造技术领域,特别涉及一种原子层沉积方法以及形成的半导体器件。
背景技术
原子层沉积(Atomic Layer Deposition,ALD),最初称为原子层外延(Atomic Layer Epitaxy,ALE),也称为原子层化学气相沉积(Atomic LayerChemical Vapor Deposition,ALCVD)。
原子层沉积(ALD)涉及在通常保持负压(低于大气压的压力)的沉积室内沉积连续多个单层到半导体衬底上。一个代表性的方法如申请号为03818269的中国专利申请文件背景技术中所揭露的,包括:参考附图1所示,将第一汽化前体供应到沉积室中以使在沉积室中放置的半导体衬底100上有效形成第一单层110。然后,参考附图2所示,第一汽化前体的流过停止,惰性吹扫气体流过室中,以便从室中有效去除所有残留的没有粘附到半导体衬底100上的第一汽化前体。参考附图3所示,随后,不同于第一前体的第二汽化前体流向沉积室中以在第一单层110上或与第一单层110有效形成第二单层120,第二单层120可以与第一单层110反应;参考附图4所示,第二汽化前体的流过停止,惰性吹扫气体流过室中,以便从室中有效去除所有残留的没有粘附到第一单层110上的第二单层120。所述第一单层和第二单层的沉积工艺可以多次重复,直到在半导体衬底上形成所需厚度和组成的层。
但是,上述在半导体衬底上利用原子沉积工艺形成的由第一单层和第二单层形成的原子层是连续分布的,在现有的半导体制作工艺中,主要运用在线宽和深宽比很高的孔洞,沟槽中。
随着半导体器件制作工艺的进一步发展,器件的临界尺寸越来越小,而且对半导体器件的储存密度要求也越来越高,在具有介质层-捕获电荷层-介质层的三层堆叠结构的半导体器件的制作工艺中,采用离散的原子岛(纳米点)捕获电荷层取代现有技术中有一定厚度的捕获电荷层,可以减少半导体器件的横向漏电,降低形成的半导体器件捕获电荷层的厚度,并提高器件的存储能力。但是,现有技术中,形成离散的原子岛(纳米点)捕获电荷层的方法一般都采用化学气相沉积或者物理气相沉积的方法,形成的原子岛的尺寸在10nm至100nm,而且原子岛的尺寸分布均匀性差。
发明内容
有鉴于此,本发明解决的技术问题是提供一种原子层的沉积方法,以形成一层以上离散分布的化合物单层。
本发明还提供一种半导体器件,所述器件具有介质层-捕获电荷层-介质层的三层堆叠结构,所述捕获电荷层含有的化合物单层都为原子尺寸,且尺寸分布均匀。
一种原子层沉积方法,包括如下步骤:
在原子层沉积室内放置半导体衬底;
第一前体气体流向原子层沉积室内的半导体衬底,在半导体衬底上形成离散分布的第一单层;
惰性吹扫气体流向在原子层沉积室内的半导体衬底,去除没有形成第一单层的第一前体气体;
第二前体气体流向原子层沉积室,与形成第一单层的第一前体气体反应,形成离散的第一化合物单层;
惰性吹扫气体流向原子层沉积室,去除没有和第一前体气体反应的第二前体气体以及第一前体气体与第二前体气体反应的副产物;
在半导体衬底上形成覆盖第一化合物单层的第一介电层;
第三前体气体流向原子层沉积室,在第一介电层上形成离散分布的第三单层;
惰性吹扫气体流向原子层沉积室,去除没有和第一介电层形成第三单层的第三前体气体;
第四前体气体流向原子层沉积室,与形成第三单层的第三前体气体反应,形成离散的第二化合物单层;
惰性吹扫气体流向原子层沉积室,去除没有和第三单层反应的第四前体气体以及第三前体气体与第四前体气体反应的副产物;
在第一介电层上形成覆盖第二化合物单层的第二介电层。
本发明还提供一种半导体器件,包括半导体衬底,位于半导体衬底上的介质层-捕获电荷层-介质层的三层堆叠结构和位于介质层-捕获电荷层-介质层的三层堆叠结构上的栅极,以及半导体衬底内位于介质层-捕获电荷层-介质层的三层堆叠结构两侧的源极和漏极,所述捕获电荷层为含有采用原子沉积方法形成的一层以上的离散的化合物单层的介电层。
与现有技术相比,上述方案具有以下优点:
1、上述方案一方面提供一种原子层的沉积方法,通过在半导体衬底上形成离散分布的第一单层之后形成离散的第一化合物单层,在第一化合物单层上形成第一介电层之后,在第一介电层上继续形成离散分布的第二化合物单层,从而在半导体衬底上形成一层以上的离散分布的化合物单层,实现了原子尺寸的多种纳米岛在半导体衬底上呈多层的离散分布。并且,由于采用原子层沉积工艺,形成的离散分布的一层以上的化合物单层的大小为准确的原子尺寸大小,并且原子尺寸大小均匀一致。形成一层以上的离散分布的化合物单层,在所述化合物单层用于半导体器件例如存储器时,可用于提高存储器的存储能力以及存储隔离能力,减小漏电流。
进一步,所述原子层沉积方法通过控制第一前体气体流向原子层沉积室内的工艺,在半导体衬底上形成离散的第一单层,并且通过控制第一前体气体流向原子层沉积室内的流量和时间,控制形成的第一单层在半导体衬底上的分布密度,从而形成离散的第一化合物单层,在离散的第一化合物单层上形成覆盖第一化合物单层的第一介电层之后,在第一介电层上通过控制第三前体气体流向原子层沉积室内的工艺,在第一介电层上形成离散的第三单层,通过控制第三前体气体流向原子层沉积室内的流量和时间,控制形成的第三单层在第一介电层上的分布密度以及第一单层和第三单层在半导体衬底上的分布密度。
2、上述方案还提供一种半导体器件,所述半导体器件具有介质层-捕获电荷层-介质层的三层堆叠结构,所述捕获电荷层为含有采用原子沉积方法形成的离散的一层以上的化合物单层,由于采用原子层沉积工艺形成离散的化合物单层,因此,所述离散的化合物单层的尺寸为原子尺寸,大小可以控制,而且,所述包含离散的一层以上的化合物单层在介电层中的分布密度可以通过控制原子层沉积工艺中反应气体的流量和流入时间进行控制。
附图说明
图1至图4为现有技术的原子层沉积工艺的结构示意图;
图5至图18为本发明第一实施例原子层沉积工艺的结构示意图;
图19为本发明第一实施例原子层沉积工艺的工艺流程图;
图20为本发明第二实施例提供的半导体器件的结构示意图。
具体实施方式
本发明的目的在于提供一种原子层沉积方法,在半导体衬底上形成一层以上离散分布的原子岛,所述原子尺寸岛的原子大小和厚度都在原子量级,且数量可控。
本发明的目的还在于提供一种半导体器件,所述器件具有介质层-捕获电荷层-介质层的三层堆叠结构,其中的捕获电荷层为含有采用原子层的沉积方法形成的一层以上离散的原子岛的介电层。
为使本发明的上述目的、特征和优点能够更加明显易懂,下面结合附图对本发明的具体实施方式做详细的说明。
实施例1
本实施例提供一种原子层沉积方法,参考附图19所示的工艺流程图,包括如下步骤:步骤S200,在原子层沉积室内放置半导体衬底;步骤S201,第一前体气体流向原子层沉积室内的半导体衬底,在半导体衬底上形成离散分布的第一单层;步骤S202,惰性吹扫气体流向在原子层沉积室内的半导体衬底,去除没有形成第一单层的第一前体气体;步骤S203,第二前体气体流向原子层沉积室,与形成第一单层的第一前体气体反应,形成离散的第一化合物单层;步骤S204,惰性吹扫气体流向原子层沉积室,去除没有和第一前体气体反应的第二前体气体以及第一前体气体与第二前体气体反应的副产物;步骤S205,在半导体衬底上形成覆盖第一化合物单层的第一介电层;步骤S206,第三前体气体流向原子层沉积室,在第一介电层上形成离散分布的第三单层;步骤S207,惰性吹扫气体流向原子层沉积室,去除没有和第一介电层形成第三单层的第三前体气体;步骤S208,第四前体气体流向原子层沉积室,与形成第三单层的第三前体气体反应,形成离散的第二化合物单层;步骤S209,惰性吹扫气体流向原子层沉积室,去除没有和第三前体气体反应的第四前体气体以及第三前体气体与第四前体气体反应的副产物;步骤S210,在第一介电层上形成覆盖第二化合物单层的第二介电层。
首先,参考步骤S200,在原子层沉积室内放置半导体衬底200。所述的原子层沉积室为现有技术进行原子层沉积工艺的常规反应设备的沉积室,进行原子层沉积反应时,应该尽可能使通入沉积室内的反应气体在半导体衬底上能够均匀分布,因此,本实施例优选沉积设备的反应气体通入装置从沉积室的各个角度均匀进气,例如反应气体通入装置为淋浴头式,采用这种设备可以使半导体衬底上形成的离散岛分布较为均匀。
所述半导体衬底200可以是半导体领域技术人员熟知的各种半导体材料,包括单晶或者多晶结构的硅或硅锗(SiGe),还可以是含有掺杂离子例如N型或者P型掺杂的硅或者硅锗,也可以包括混合的半导体结构,例如碳化硅、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓、合金半导体或其组合;也可以是绝缘体上硅(SOI)。所述半导体衬底可以是空白的半导体材料衬底,也可以是已经形成各种半导体器件以及线路的半导体衬底。
参考步骤S201,第一前体气体流向原子层沉积室内的半导体衬底200,如图5所示,第一前体气体与半导体衬底之间发生物理或者化学吸附,在半导体衬底200上形成离散的第一单层210,由于第一前体气体原子之间的互相吸附作用,在与半导体衬底200直接接触的第一单层210上还吸附有第一前体气体的原子。
所述的第一前体气体210可以为现有技术中任何带成核体物质、并且与半导体衬底之间通过化学或者物理吸附,可以在半导体衬底上形成有效的第一单层的反应气体,可以是金属、半导体、或者配合有卤素或者有机配合物的金属、或者配合有卤素或者有机配合物的半导体中的一种或者几种的混合物,所述的金属材料例如Ta,Ti,W,Mo,Nb,Cu,Ni,Pt,Ru,Me,Ni,Al等,所述的半导体材料例如硅等,所述的配合有卤素或者有机配合物的半导体例如SiCl2H2、Si(OC2H5)4、SiH2[NH(C4H9)]2、SiH(OC2H5)3等。
仅仅做为举例,本实施例给出几种具体的第一前体气体,以使本领域技术人员更好的理解并实施本发明。若最终形成的离散的第一化合物单层为Si3N4,则第一前体气体为带有Si原子成核体物质的反应气体,例如SiCl2H2、SiH4、Si2Cl6或者SiH2[NH(C4H9)]2等物质。
若最终形成的离散的第一化合物单层为HfO,则第一前体气体为带有Hf原子成核体物质的反应气体,例如Hf[N(CH3)(C2H5)]4、Hf[N(C2H5)2]4、Hf[OC(CH3)3]4或者HfCl4等。
若最终形成的离散的第一化合物单层为Al2O3,则第一前体气体为带有Al原子成核体物质的反应气体,例如Al(CH3)3等。
若最终形成的离散的第一化合物单层为WN,则第一前体气体为带有W原子成核体物质的反应气体,例如WF6等。
为使第一前体气体在半导体衬底上形成离散的第一单层,应该控制第一前体气体流向原子层沉积室内的具体工艺条件,对第一前体气体在半导体衬底上的离散分布起作用的是第一前体气体流向原子层沉积室内的气体流量、流入时间、流入温度、压力等。
其中,对实现离散分布起决定作用的工艺在于第一前体气体流入沉积室内的流量和流入时间,在本发明的实施例中,为了实现第一前体气体在半导体衬底上形成离散的第一单层,应该在现有技术形成密集的第一单层的工艺基础上,降低第一前体气体在原子层沉积室内的流量以及流入时间,在半导体衬底上吸附的第一前体气体形成密集分布之前,停止第一前体气体的流入,即可形成离散分布的第一单层。在本实施例更加优选的实施方式中,可以较大的降低第一前体气体在原子层沉积室内的流量,而适当的提高第一前体气体在原子层沉积室内的流入时间,以提高工艺的可控制性。
本实施例中,通过控制第一前体气体在原子层沉积室内的流量以及流入时间,可以控制形成第一单层的第一前体气体在半导体衬底上的分布密度。
在现有技术中,对于不同的第一前体气体,在半导体衬底上形成密集分布的第一单层的气体流量和时间都分别不同,因此,在本发明的实施例中,对于不同的第一前体气体,形成离散分布的第一单层所需的气体流量以及流入时间也是各不相同的。但是,在本发明实施例形成离散第一单层的工艺条件下,在现有技术形成密集第一单层的工艺基础下,通过降低流入的第一单体气体的流量和流入时间,都可以根据工艺设计的需要形成离散分布的第一单层,并且形成离散分布的第一单层的第一前体气体的分布密度是可控的。
为使本领域技术人员更好的实施本发明,本实施例给出一具体的实施方式,若最终形成的第一化合物单层为Si3N4,采用现有技术中常规的原子层沉积设备,通入SiCl2H2气体作为第一前体气体,其中,SiCl2H2气体的流量为0.06-0.3slm,流入时间大于0小于10sec,较好的是大于0小于等于7秒。第一前体气体流入原子层沉积室内的压力为500至800Pa,原子层沉积室内的温度为450至600摄氏度,较好的为550摄氏度。
本实施例给出的另一具体的实施方式,若最终形成的离散的第一化合物单层为Al2O3,采用现有技术中常规的原子层沉积设备,通入氮气携带三甲基铝Al(CH3)3液体作为第一前体气体,其中,温度设定为25摄氏度,氮气为0.03至0.15slm,流入时间大于0小于10sec,较好的是大于0小于等于7秒。第一前体气体流入原子层沉积室内的压力为3至5Pa,原子层沉积室内的温度为250至450摄氏度,较好的为400摄氏度。
步骤S202,如附图6所示,惰性吹扫气体流向在原子层沉积室内的半导体衬底200,去除没有在半导体衬底200上形成第一单层210的第一前体气体。本实施例中,所述的流向在原子层沉积室内的半导体衬底,仅仅指气体的流动方向是流向半导体衬底,并不一定表示气体直接与半导体衬底接触或者反应,因为在本实施例步骤S202之后的工艺中,半导体衬底上已经形成有其它单层或者介电层。本步骤中,不仅可以去除原子层沉积室内没有吸附在半导体衬底200上的第一前体气体,还可以去除与半导体衬底直接接触的第一前体气体上吸附的第一前体气体,只留下与半导体衬底200直接接触的第一前体气体,在半导体衬底200上形成单层的第一前体原子。所述的惰性气体例如He,Ne,Ar等气体。
惰性气体吹扫之后,所述的半导体衬底上形成呈单原子状态离散分布的、均匀或者不均匀分布的第一单层。
所述惰性气流吹扫的工艺条件为现有技术的任何常规工艺,为了本领域技术人员更好的实施本发明,本实施例给出一种具体的实施方式,在0.3Torr的压力下,将流量为5slm的N2吹扫原子层沉积室。
步骤S203,第二前体气体流向在原子层沉积室内的半导体衬底,与形成第一单层的第一前体气体反应,形成离散的化合物单层,如附图7所示,第二前体气体流向在沉积室内的半导体衬底,与第一前体气体发生化学反应,形成离散的第一化合物单层220。同时,所述的第二前体气体由于原子间力的吸附作用,也可能位于半导体衬底200上,还可能发生第二前体气体原子之间的吸附作用。由于第二前体气体与第一前体气体发生化学反应,因此,原子层沉积室内会产生第一前体气体和第二前体气体反应的副产物。
所述的第二前体气体根据形成的离散的第一化合物单层的不同,以及第一前体气体的不同,可以是现有技术中能够和第一前体气体发生化学反应,形成化合物离散的化合物单层的任何常规物质。
作为一种具体实施方式,第二前体气体可以是包含N原子或者O原子或者金属原子的物质,用作还原剂或者氧化剂。所述的包含N原子或者O原子或者金属原子的物质例如是NH3或者O2
仅仅做为举例,本实施例给出几种具体的第二前体气体,以使本领域技术人员更好的理解并实施本发明。若最终形成的第一化合物单层为Si3N4,则第一前体气体为带有Si原子成核体物质的反应气体,第二前体气体为能够与第一前体气体形成的第一单层成核体反应形成化合物单层的气体,仅仅做为举例,第二前体气体可以是NH3、N2O、N2等气体。
若最终形成的离散的第一化合物单层为HfO,则第一前体气体为带有Hf原子成核体物质的反应气体,第二前体气体为能够与第一前体气体形成的第一单层成核体反应形成化合物单层的气体,仅仅做为举例,第二前体气体可以是O3等气体。
若最终形成的离散的第一化合物单层为Al2O3,则第一前体气体为带有Al原子成核体物质的反应气体,第二前体气体为能够与第一前体气体形成的第一单层成核体反应形成化合物单层的气体,第二前体气体可以是H2O或者O3等气体。
若最终形成的离散的第一化合物单层为WN,则第一前体气体为带有W原子成核体物质的反应气体,仅仅做为举例,第二前体气体可以是NH3等气体。
第二前体气体流向在沉积室内的半导体衬底的工艺可以为本领于技术人员熟知的现有技术,仅仅为了举例,在第一前体气体为SiCl2H2,最终形成的化合物单层为Si3N4时,采用现有技术中常规的原子层沉积设备,选定通入的第二前体气体为NH3时,第二前体气体的流量为2至5slm,流入时间大于0小于30sec,原子层沉积室内的压力为30至50Pa,原子层沉积室内的温度为450至600摄氏度,较好的为550摄氏度。
步骤S204,如附图8所示,惰性气体吹扫流向在原子层沉积室内的半导体衬底,去除没有和第一前体气体反应的第二前体气体以及第一前体气体与第二前体气体反应的副产物。
所述的惰性气体例如He,Ne,Ar等气体。
所述惰性气体吹扫的工艺条件为现有技术的任何常规工艺,为了本领域技术人员更好的实施本发明,本实施例给出一种具体的实施方式,在0.3Torr的压力下,将流量为5slm的N2吹扫原子层沉积室。
步骤S205,在半导体衬底200上形成覆盖第一化合物单层220的第一介电层250,具体工艺参考附图9至附图13所示。
在半导体衬底200上形成覆盖离散的第一化合物单层220的第一介电层的工艺可以是本领域技术人员熟知的任何现有技术,例如物理气相沉积、化学气相沉积等工艺,本实施例提供一种采用原子层沉积工艺形成第一介电层的工艺方法,所述原子层沉积工艺可以为现有技术的任何工艺方法,本实施例给出一种实施方法,包括如下工艺步骤:
第五前体气体流向原子层沉积室,在半导体衬底以及第一化合物单层上形成第五单层,所述第五单层填满第一化合物单层之间的空隙;
惰性吹扫气流向原子层沉积室,去除没有和半导体衬底以及第一化合物单层形成第五单层的第五前体气体;
第六前体气体流向原子层沉积室,和形成第五单层的第五前体气体反应,形成第一介电层单原子层;
惰性吹扫气流向原子层沉积室,去除没有形成第一介电层单原子层的的第六前体气体以及第五前体气体和第六前体气体反应的副产物;
在第一介电层单原子层上进行一次以上第一介电层单原子层的沉积工艺,形成设定厚度的覆盖第一化合物单层的第一介电层。
参考附图9所示,将第五前体气体流向原子层沉积室,气体流动的方向是流向半导体衬底,由于所述半导体衬底200上已经形成有离散的第一化合物单层220,因此,第五前体气体在半导体衬底200以及第一化合物单层220上形成第五单层230,而且,由于半导体衬底200上的第一化合物单层220是离散分布的,因此位于半导体衬底200上的第五单层230填满第一化合物单层220之间的空隙。位于第一化合物单层220上的第五前体气体通过原子间力或者化学键与第一化合物单层220结合在一起。
所述第五前体气体为现有技术中任何带成核体物质、并且与半导体衬底以及第一化合物单层220通过化学或者物理吸附,可以在半导体衬底200以及第一化合物单层220上形成有效的第五单层的反应气体,并且,所述第五单体气体与第六前体气体反应能够形成氧化硅等绝缘材料。
为使本领域技术人员更好的理解并实施本发明,本实施例给出几种可实施的具体例子,若最终形成的介电层为SiO2,则第五前体气体为Si(OC2H5)4、SiH2[NH(C4H9)]2、SiH(OC2H5)3、Si2Cl6或者SiHN[(CH3)2]3等。
将第五前体气体流向原子层沉积室内的半导体衬底的具体工艺方法为本领域技术人员熟知的现有技术。
参考附图10所示,惰性吹扫气流向原子层沉积室,气体流动的方向是流向半导体衬底,去除没有和半导体衬底200以及离散的第一化合物单层220形成第五单层的第五前体气体,所述的惰性气体例如He,Ne,Ar等气体。惰性气流吹扫的工艺条件为现有技术的任何常规工艺。
参考附图11所示,第六前体气体流向原子层沉积室,气体流动的方向是流向半导体衬底,和形成第五单层230的第五前体气体反应,形成介电层单原子层240。
形成第五单层230的第五前体气体包括填满第一化合物单层220之间的空隙的第五前体气体以及位于第一化合物单层220上的与第一化合物单层220吸附结合在一起的第五前体气体。
所述第六前体气体与第五前体气体发生反应,形成介电层单原子层240,所述的介电层单原子层为氧化硅等绝缘材料的单原子层。
作为举例,本实施例的一种具体实施方式中,若最终形成的介电层为SiO2,则第六前体气体可以是NH3、N2O、O2等气体。
将第六前体气体流向原子层沉积室内的半导体衬底的具体工艺方法为本领域技术人员熟知的现有技术。
参考附图12所示,惰性吹扫气流向原子层沉积室,气体流动的方向是流向半导体衬底,去除没有形成介电层单原子层240的第六前体气体以及第五前体气体和第六前体气体反应的副产物。所述的惰性气体例如He,Ne,Ar等气体。惰性气流吹扫的工艺条件为现有技术的任何常规工艺。
参考附图13所示,在介电层单原子层240上进行一次以上介电层单原子层的沉积工艺,形成设定厚度的覆盖离散的化合物单层的第一介电层250。
所述在介电层单原子层240上继续形成介电层单原子层的工艺参考步骤与附图9至13描述的工艺步骤相同,本实施例给出一种在介电层单原子层上形成一次介电层单原子层的工艺方法,具体工艺步骤为:
1)第七前体气体流向原子层沉积室,气体流动的方向是流向半导体衬底,在介电层单原子层以及离散的化合物单层上形成第七单层,所述第七单层覆盖介电层单原子层以及离散的化合物单层;
其中,第七前体气体的材料与形成第五单层的第五前体气体相同,为现有技术中任何带成核体物质、并且与介电层单原子层以及化合物单层通过化学或者物理吸附,可以在介电层单原子层以及化合物单层上形成有效的第七单层的反应气体,并且,所述第七单体气体与第八前体气体反应能够形成氧化硅、氮化硅、氮氧化硅等绝缘材料。
2)惰性吹扫气流向原子层沉积室,气体流动的方向是流向半导体衬底,去除没有在介电层单原子层以及化合物单层上形成有效的第七单层的第七前体气体;
3)第八前体气体流向原子层沉积室,气体流动的方向是流向半导体衬底,和形成第七单层的第七前体气体反应,在介电层单原子层上形成另一介电层单原子层;
所述第八前体气体与第七前体气体相同,通过与第七前体气体发生反应,形成介电层单原子层,所述的介电层单原子层为氧化硅等绝缘材料的单原子层。
4)惰性吹扫气流向原子层沉积室,气体流动的方向是流向半导体衬底,去除没有形成介电层单原子层的的第八前体气体以及第七前体气体和第八前体气体反应的副产物。
采用步骤1)至步骤4)描述的工艺方法,在介电层单原子层上形成另一覆盖介电层单原子层以及第一化合物单层的另一介电层单原子层。
根据工艺设计的需要,可以多次重复形成介电层单原子层的形成工艺,形成设定厚度的第一介电层。
采用本实施例所述的形成第一介电层的工艺方法,在形成离散的第一化合物单层之后,在离散的第一化合物单层上形成覆盖第一化合物单层的介电层,将形成的离散的第一化合物单层用第一介电层密封起来,可继续在第一介电层上形成离散分布的第二化合物单层,以实现本实施例提供一层以上离散分布的化合物单层的目的。
步骤S206,第三前体气体流向原子层沉积室内的第一介电层250,如附图14所示,第三前体气体与第一介电层250之间发生物理或者化学吸附,在第一介电层250上形成离散分布的第三单层260。
由于第三前体气体原子之间的互相吸附作用,在与第一介电层250直接接触的第三单层260上还吸附有第三前体气体的原子,而且,离散的第一化合物单层220上也吸附有第三前体气体原子。
所述的第三前体气体为现有技术中任何带成核体物质、并且与半导体衬底之间通过化学或者物理吸附,可以在半导体衬底上形成有效的第三单层的反应气体,可以是金属、半导体、或者配合有卤素或者有机配合物的金属、或者配合有卤素或者有机配合物的半导体中的一种或者几种的混合物,所述的金属材料例如Ta,Ti,W,Mo,Nb,Cu,Ni,Pt,Ru,Me,Ni,Al等,所述的半导体材料例如硅等,所述的配合有卤素或者有机配合物的半导体例如SiCl2H2、Si(OC2H5)4、SiH2[NH(C4H9)]2、SiH(OC2H5)3等。
仅仅做为举例,本实施例给出几种具体的第三前体气体,以使本领域技术人员更好的理解并实施本发明。若最终形成的离散的第二化合物单层为Si3N4,则第三前体气体为带有Si原子成核体物质的反应气体,例如SiCl2H2、SiH4、Si2Cl6或者SiH2[NH(C4H9)]2等物质。
若最终形成的离散的第二化合物单层为HfO,则第三前体气体为带有Hf原子成核体物质的反应气体,例如Hf[N(CH3)(C2H5)]4、Hf[N(C2H5)2]4、Hf[OC(CH3)3]4或者HfCl4等。
若最终形成的离散的第二化合物单层为Al2O3,则第三前体气体为带有Al原子成核体物质的反应气体,例如Al(CH3)3等。
若最终形成的离散的第二化合物单层为WN,则第三前体气体为带有W原子成核体物质的反应气体,例如WF6等。
为使第三前体气体在半导体衬底上形成离散的第三单层,应该控制第三前体气体流向原子层沉积室内的具体工艺条件,对第三前体气体在半导体衬底上的离散分布起作用的是第三前体气体流向原子层沉积室内的气体流量、流入时间、流入温度、压力等。
其中,对实现离散分布起决定作用的工艺在于第三前体气体流入沉积室内的流量和流入时间,在本发明的实施例中,为了实现第三前体气体在半导体衬底上形成离散的第三单层,应该在现有技术形成密集的第三单层的工艺基础上,降低第三前体气体在原子层沉积室内的流量以及流入时间,在半导体衬底上吸附的第三前体气体形成密集分布之前,停止第三前体气体的流入,即可形成离散分布的第三单层。在本实施例更加优选的实施方式中,可以较大的降低第三前体气体在原子层沉积室内的流量,而适当的提高第三前体气体在原子层沉积室内的流入时间,以提高工艺的可控制性。
本实施例中,通过控制第三前体气体在原子层沉积室内的流量以及流入时间,可以控制形成第三单层的第三前体气体在半导体衬底上的分布密度。
在现有技术中,对于不同的第三前体气体,在半导体衬底上形成密集分布的第三单层的气体流量和时间都分别不同,因此,在本发明的实施例中,对于不同的第三前体气体,形成离散分布的第三单层所需的气体流量以及流入时间也是各不相同的。但是,在本发明实施例形成离散第三单层的工艺条件下,在现有技术形成密集第三单层的工艺基础下,通过降低流入的第三单体气体的流量和流入时间,都可以根据工艺设计的需要形成离散分布的第三单层,并且形成离散分布的第三单层的第三前体气体的分布密度是可控的。
为使本领域技术人员更好的实施本发明,本实施例给出一具体的实施方式,若最终形成的第二化合物单层为Si3N4,采用现有技术中常规的原子层沉积设备,通入SiCl2H2气体作为第三前体气体,其中,SiCl2H2气体的流量为0.06-0.3slm,流入时间大于0小于10sec,较好的是大于0小于等于7秒。第三前体气体流入原子层沉积室内的压力为500至800Pa,原子层沉积室内的温度为450至600摄氏度,较好的为550摄氏度。
本实施例给出的另一具体的实施方式,若最终形成的第二化合物单层为Al2O3,采用现有技术中常规的原子层沉积设备,通入氮气携带三甲基铝Al(CH3)3液体作为第三前体气体,其中,温度设定为25摄氏度,氮气为0.03至0.15slm,流入时间大于0小于10sec,较好的是大于0小于等于7秒。第三前体气体流入原子层沉积室内的压力为3至5Pa,原子层沉积室内的温度为250至450摄氏度,较好的为400摄氏度。
步骤S207,惰性吹扫气流向原子层沉积室,气体流动的方向是流向半导体衬底,如附图15所示,去除没有和第一介电层250形成第三单层260的第三前体气体。
本步骤中,不仅可以去除原子层沉积室内没有吸附在第一介电层250上的第三前体气体,还可以去除与第一介电层250直接接触的第三前体气体上吸附的第三前体气体,只留下与第一介电层250直接接触的第三前体气体。所述的惰性气体例如He,Ne,Ar等气体。
惰性气体吹扫之后,所述的第一介电层250上形成呈单原子状态离散分布的、均匀或者不均匀分布的第三单层。
所述惰性气流吹扫的工艺条件为现有技术的任何常规工艺,为了本领域技术人员更好的实施本发明,本实施例给出一种具体的实施方式,在0.3Torr的压力下,将流量为5slm的N2吹扫原子层沉积室。
步骤S208,如附图16所示,第四前体气体流向原子层沉积室,气体流动的方向是流向半导体衬底,与形成第三单层260的第三前体气体反应,形成离散的第二化合物单层270。同时,所述的第四前体气体由于原子间力的吸附作用,也可能位于第一介电层250以及第二化合物单层270上。由于第四前体气体与第三前体气体发生化学反应,因此,原子层沉积室内会产生第四前体气体和第三前体气体反应的副产物。
所述的第四前体气体根据形成的离散的第二化合物单层的不同,以及第三前体气体的不同,可以是现有技术中能够和第三前体气体发生化学反应,形成离散的第二化合物单层的任何常规物质。
作为一种具体实施方式,第四前体气体可以是包含N原子或者O原子或者金属原子的物质,用作还原剂或者氧化剂。所述的包含N原子或者O原子或者金属原子的物质例如是NH3或者O2
仅仅做为举例,本实施例给出几种具体的第四前体气体,以使本领域技术人员更好的理解并实施本发明。若最终形成的离散的第二化合物单层为Si3N4,则第三前体气体为带有Si原子成核体物质的反应气体,第四前体气体为能够与第三前体气体形成的第三单层成核体反应形成第二化合物单层的气体,仅仅做为举例,第四前体气体可以是NH3、N2O、N2等气体。
若最终形成的离散的第二化合物单层为HfO,则第三前体气体为带有Hf原子成核体物质的反应气体,第四前体气体为能够与第三前体气体形成的第三单层成核体反应形成第二化合物单层的气体,仅仅做为举例,第四前体气体可以是O3等气体。
若最终形成的离散的第二化合物单层为Al2O3,则第三前体气体为带有Al原子成核体物质的反应气体,第四前体气体为能够与第三前体气体形成的第三单层成核体反应形成第二化合物单层的气体,第四前体气体可以是H2O或者O3等气体。
若最终形成的离散的第二化合物单层为WN,则第三前体气体为带有W原子成核体物质的反应气体,仅仅做为举例,第四前体气体可以是NH3等气体。
第四前体气体流向在沉积室内的半导体衬底的工艺为本领于技术人员熟知的现有技术,仅仅为了举例,在第三前体气体为SiCl2H2,最终形成的化合物单层为Si3N4时,采用现有技术中常规的原子层沉积设备,选定通入的第四前体气体为NH3时,第四前体气体的流量为2至5slm,流入时间大于0小于30sec,原子层沉积室内的压力为30至50Pa,原子层沉积室内的温度为450至600摄氏度,较好的为550摄氏度。
在本实施例中,第一前体气体、第二前体气体、第三前体气体和第四前体气体都可以选择本领域技术人员熟知的各种可用于原子层沉积工艺的常规气体,在本实施例给出的几种可供选择的实施方式中,第一前体气体和第三前体气体以及第二前体气体和第四前体气体的可选择范围也基本相同,因此,本实施例中所述的第一化合物单层和第二化合物单层的可能相同,也可能不同,较好的,形成的第一化合物单层和第二化合物单层的化学成分是相同的。在本实施例中,所述第一化合物单层和第二化合物单层都可以是氮化硅,氧化铝、氧化铪,氮化钨等。
步骤S209,如附图17所示,惰性气体吹扫流向原子层沉积室,气体流动的方向是流向半导体衬底,去除没有和第三单层反应的第四前体气体以及第三前体气体与第四前体气体反应的副产物。惰性气体吹扫之后,所述的第一介电层250上形成呈单原子状态离散分布的第二化合物单层270。
最后,如附图18所示,步骤S210,在第一介电层上形成覆盖第二化合物270单层的第二介电层280。所述第二介电层280的形成工艺可以是本领域技术人员熟知的任何现有技术,例如物理气相沉积、化学气相沉积等工艺,本实施例优选采用原子层沉积工艺形成第二介电层的工艺方法,所述原子层沉积工艺可以为现有技术的任何工艺方法,本实施例采用一种第二介电层的形成方法,参考第一介电层的形成工艺。
在半导体衬底上形成第一化合物单层以及第一介电层,第二化合物单层以及第二介电层之后,可以参考第一化合物单层以及第二化合物单层的形成工艺和第一介电层以及第二介电层的沉积工艺,根据工艺设计的需要,在第二介电层上形成离散分布的第三化合物单层;在第二介电层上形成覆盖第三化合物单层的第三介电层;........;在第N介电层上形成离散分布的第N+1化合物单层;在第N介电层上形成覆盖第N+1化合物单层的第N+1介电层的工艺步骤(N为大于等于3的正整数),在半导体衬底上形成多层化合物单层以及覆盖化合物单层的介电层。
所述的第N+1化合物单层的形成工艺与第一化合物单层或者第二化合物单层的形成工艺相同,并且,形成第N+1化合物单层的原材料也与形成第一化合物单层或者第二化合物单层的原材料相同。
采用本实施例所述的原子层沉积工艺,可以在半导体衬底上形成一层以上离散分布的化合物单层。
实施例2
本实施例提供一种半导体器件,参考附图20,包括半导体衬底400,位于半导体衬底400上的介质层430-捕获电荷层440-介质层450的三层堆叠结构和位于介质层430-捕获电荷层440-介质层450的三层堆叠结构上的栅极460,以及半导体衬底400内位于介质层430-捕获电荷层440-介质层450的三层堆叠结构两侧的源极410和漏极420,所述捕获电荷层440为采用原子沉积方法形成的包含一层以上离散的化合物单层的介电层。此处的含有指所述的一层以上的离散化合物单层镶嵌在所述介电层中并且被所述介电层覆盖。
所述半导体衬底400可以包括单晶或者多晶结构的硅或硅锗(SiGe),还可以是含有掺杂离子例如N型或者P型掺杂的硅或者硅锗,也可以包括混合的半导体结构,例如碳化硅、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓、合金半导体或其组合;也可以是绝缘体上硅(SOI)。
所述介质层430-捕获电荷层440-介质层450的三层堆叠结构中的介质层430或者介质层450可以是SiO2等绝缘材料,所述捕获电荷层440为包含一层以上离散的化合物单层的介电层,所述介电层例如氧化硅等绝缘材料,所述离散的化合物单层密封在介电层内,成单原子状态均匀或者不均匀分布,形成离散的纳米岛(nano dot),所述化合物单层可以是现有技术中任意可以用作半导体器件的捕获电荷层中捕获电荷的物质,例如Si3N4、Al2O3、HfO或者WN等。
所述包含离散的一层以上化合物单层的介电层的形成工艺参考实施例1的描写。
栅极460可以是包含半导体材料的多层结构,例如硅、锗、金属或其组合。
源极410和漏极420位于介质层430-捕获电荷层440-介质层450的三层堆叠结构两侧的半导体衬底400内,附图20中源极410和漏极420的位置可以互换,其掺杂离子可以是磷离子、砷离子、硼离子或者铟离子中的一种或者几种。
本实施例所提供的半导体器件,捕获电荷层为包含一层以上离散的化合物单层的介电层,所述离散的化合物单层的尺寸为原子尺寸,大小可以控制,而且,所述离散的化合物单层在介电层中的分布密度可以通过控制形成离散的化合物单层的原子层沉积工艺进行控制。
所述半导体器件为线宽很小的器件,可以提高捕获电荷层中捕获电荷陷阱密度,捕获电荷能力。
虽然本发明已以较佳实施例披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (17)

1.一种原子层沉积方法,其特征在于,包括如下步骤:
在原子层沉积室内放置半导体衬底;
第一前体气体流向原子层沉积室内的半导体衬底,在半导体衬底上形成离散分布的第一单层;
惰性吹扫气体流向在原子层沉积室内的半导体衬底,去除没有形成第一单层的第一前体气体;
第二前体气体流向原子层沉积室,与形成第一单层的第一前体气体反应,形成离散的第一化合物单层;
惰性吹扫气体流向原子层沉积室,去除没有和第一前体气体反应的第二前体气体以及第一前体气体与第二前体气体反应的副产物;
在半导体衬底上形成覆盖第一化合物单层的第一介电层;
第三前体气体流向原子层沉积室,在第一介电层上形成离散分布的第三单层;
惰性吹扫气体流向原子层沉积室,去除没有和第一介电层形成第三单层的第三前体气体;
第四前体气体流向原子层沉积室,与形成第三单层的第三前体气体反应,形成离散的第二化合物单层;
惰性吹扫气体流向原子层沉积室,去除没有和第三单层反应的第四前体气体以及第三前体气体与第四前体气体反应的副产物;
在第一介电层上形成覆盖第二化合物单层的第二介电层。
2.根据权利要求1所述的原子层沉积方法,其特征在于,还包括在第二介电层上形成离散分布的第三化合物单层;在第二介电层上形成覆盖第三化合物单层的第三介电层;........;在第N介电层上形成离散分布的第N+1化合物单层;在第N介电层上形成覆盖第N+1化合物单层的第N+1介电层的工艺步骤,N为大于等于3的正整数。
3.根据权利要求1所述的原子层沉积方法,其特征在于,所述的第一前体气体是金属、半导体、配合有卤素或者有机配合物的金属、或者配合有卤素或者有机配合物的半导体中的一种或者几种的混合物。
4.根据权利要求3所述的原子层沉积方法,其特征在于,所述金属为Ta,Ti,W,Mo,Nb,Cu,Ni,Pt,Ru,Me,Ni或者Al。
5.根据权利要求3所述的原子层沉积方法,其特征在于,所述的半导体为硅。
6.根据权利要求3所述的原子层沉积方法,其特征在于,所述的配合有卤素或者有机配合物的金属为Al(CH3)3、Hf[N(CH3)(C2H5)]4、Hf[N(C2H5)2]4、Hf[OC(CH3)3]4或者HfCl4,配合有卤素或者有机配合物的半导体为SiCl2H2、Si(OC2H5)4、Si2Cl6、SiH2[NH(C4H9)]2或者SiH(OC2H5)3
7.根据权利要求1所述的原子层沉积方法,其特征在于,所述的第一前体气体为SiCl2H2时,第一前体气体流向原子层沉积室的流量为0.06至0.3slm,流入时间大于0小于10sec。
8.根据权利要求1所述的原子层沉积方法,其特征在于,所述的第二前体气体为NH3、N2O、N2、O2、O3或者H2O。
9.根据权利要求1所述的原子层沉积方法,其特征在于,所述的第三前体气体是金属、半导体、配合有卤素或者有机配合物的金属、或者配合有卤素或者有机配合物的半导体中的一种或者几种的混合物。
10.根据权利要求9所述的原子层沉积方法,其特征在于,所述金属为Ta,Ti,W,Mo,Nb,Cu,Ni,Pt,Ru,Me,Ni或者Al。
11.根据权利要求9所述的原子层沉积方法,其特征在于,所述的半导体为硅。
12.根据权利要求9所述的原子层沉积方法,其特征在于,所述的配合有卤素或者有机配合物的金属为Al(CH3)3、Hf[N(CH3)(C2H5)]4、Hf[N(C2H5)2]4、Hf[OC(CH3)3]4或者HfCl4,配合有卤素或者有机配合物的半导体为SiCl2H2、Si(OC2H5)4、Si2Cl6、SiH2[NH(C4H9)]2或者SiH(OC2H5)3
13.根据权利要求9所述的原子层沉积方法,其特征在于,所述的第三前体气体为SiCl2H2时,第三前体气体流向原子层沉积室的流量为0.06至0.3slm,流入时间大于0小于10sec。
14.根据权利要求1所述的原子层沉积方法,其特征在于,所述的第四前体气体为NH3、N2O、N2、O2、O3或者H2O。
15.根据权利要求1所述的原子层沉积方法,其特征在于,所述第一介电层的形成工艺为原子层沉积方法。
16.根据权利要求15所述的原子层沉积方法,其特征在于,所述第一介电层的形成工艺为:
第五前体气体流向原子层沉积室,在半导体衬底以及第一化合物单层上形成第五单层,所述第五单层填满第一化合物单层之间的空隙;
惰性吹扫气体流向原子层沉积室,去除没有吸附在半导体衬底以及第一化合物单层上而形成第五单层的第五前体气体;
第六前体气体流向原子层沉积室,和形成第五单层的第五前体气体反应,形成第一介电层单原子层;
惰性吹扫气体流向原子层沉积室,去除没有形成第一介电层单原子层的第六前体气体以及第五前体气体和第六前体气体反应的副产物;
在第一介电层单原子层上进行一次以上第一介电层单原子层的沉积工艺,形成设定厚度的覆盖第一化合物单层的第一介电层。
17.根据权利要求1所述的原子层沉积方法,其特征在于,所述第一介电层为氧化硅。
CN200710042461A 2007-06-22 2007-06-22 原子层沉积方法以及形成的半导体器件 Active CN100590804C (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN200710042461A CN100590804C (zh) 2007-06-22 2007-06-22 原子层沉积方法以及形成的半导体器件
US12/141,040 US8158512B2 (en) 2007-06-22 2008-06-17 Atomic layer deposition method and semiconductor device formed by the same
US13/419,943 US20120168853A1 (en) 2007-06-22 2012-03-14 Semiconductor non-volatile memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200710042461A CN100590804C (zh) 2007-06-22 2007-06-22 原子层沉积方法以及形成的半导体器件

Publications (2)

Publication Number Publication Date
CN101330015A CN101330015A (zh) 2008-12-24
CN100590804C true CN100590804C (zh) 2010-02-17

Family

ID=40135570

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710042461A Active CN100590804C (zh) 2007-06-22 2007-06-22 原子层沉积方法以及形成的半导体器件

Country Status (2)

Country Link
US (1) US8158512B2 (zh)
CN (1) CN100590804C (zh)

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101039142B1 (ko) * 2008-12-23 2011-06-03 주식회사 하이닉스반도체 리세스 채널을 갖는 반도체 소자의 제조방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
EP2833409A4 (en) * 2012-03-30 2015-11-11 Hitachi Ltd SILICON CARBIDE FIELD EFFECT TRANSISTOR
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9196718B2 (en) * 2013-02-20 2015-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ nitridation of gate dielectric for semiconductor devices
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10895011B2 (en) * 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111092242B (zh) * 2020-02-27 2021-11-09 江苏微导纳米科技股份有限公司 一种质子交换膜燃料电池金属双极板多纳米涂层结构的制备方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100771923B1 (ko) * 2005-09-12 2007-11-01 삼성전자주식회사 소노스 타입의 비휘발성 메모리 장치 및 그 제조 방법
US7790516B2 (en) * 2006-07-10 2010-09-07 Qimonda Ag Method of manufacturing at least one semiconductor component and memory cells

Also Published As

Publication number Publication date
US8158512B2 (en) 2012-04-17
US20080315292A1 (en) 2008-12-25
CN101330015A (zh) 2008-12-24

Similar Documents

Publication Publication Date Title
CN100590804C (zh) 原子层沉积方法以及形成的半导体器件
CN100590803C (zh) 原子层沉积方法以及形成的半导体器件
CN100590805C (zh) 原子层沉积方法以及形成的半导体器件
TWI811348B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102514553B1 (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
CN109423617B (zh) 通过循环沉积过程在衬底的电介质表面上沉积钼金属膜的方法和相关联的半导体器件结构
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US20200365456A1 (en) Low resistivity films containing molybdenum
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20200126788A1 (en) Atomic layer deposition of silicon carbon nitride based material
US20220375792A1 (en) Molybdenum fill
CN108172509A (zh) 半导体器件及制造方法
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
KR20180117714A (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
KR20090034770A (ko) 실리콘 및 금속 나노크리스탈 핵생성을 위한 플라즈마 표면처리
US20170117144A1 (en) Chemical Infiltration into Porous Dielectric Films
US20230005945A1 (en) Liner for v-nand word line stack
US20220254685A1 (en) Nucleation-free tungsten deposition
US20240006180A1 (en) Low resistance pulsed cvd tungsten
US11996286B2 (en) Silicon precursors for silicon nitride deposition
US20120168853A1 (en) Semiconductor non-volatile memory device
CN112614855A (zh) 半导体刻蚀孔内膜层及三维存储器结构的制备方法
JP2005072354A (ja) 膜形成材料、膜形成方法、膜、及び半導体素子

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20111117

Address after: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Co-patentee after: Semiconductor Manufacturing International (Beijing) Corporation

Patentee after: Semiconductor Manufacturing International (Shanghai) Corporation

Address before: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18

Patentee before: Semiconductor Manufacturing International (Shanghai) Corporation