JP2009295932A - 露光装置及びデバイス製造方法 - Google Patents

露光装置及びデバイス製造方法 Download PDF

Info

Publication number
JP2009295932A
JP2009295932A JP2008150836A JP2008150836A JP2009295932A JP 2009295932 A JP2009295932 A JP 2009295932A JP 2008150836 A JP2008150836 A JP 2008150836A JP 2008150836 A JP2008150836 A JP 2008150836A JP 2009295932 A JP2009295932 A JP 2009295932A
Authority
JP
Japan
Prior art keywords
substrate
measurement
stage
exposure
exposure apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008150836A
Other languages
English (en)
Other versions
JP2009295932A5 (ja
Inventor
Tadashi Hattori
服部  正
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2008150836A priority Critical patent/JP2009295932A/ja
Priority to US12/469,118 priority patent/US7884918B2/en
Priority to TW098118211A priority patent/TW201007373A/zh
Priority to KR1020090050948A priority patent/KR101124730B1/ko
Publication of JP2009295932A publication Critical patent/JP2009295932A/ja
Publication of JP2009295932A5 publication Critical patent/JP2009295932A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】基板ステージの駆動に起因して発生する光軸方向の誤差を計測する。
【解決手段】駆動機構は、基板を保持する基板ステージを、投影光学系の光軸方向と直交する第1方向に走査移動させ、光軸方向及び第1方向に直交する第2方向にステップ移動させる。第1計測器は、駆動機構が基板ステージを第1方向に走査移動させるときに、投影光学系の光軸方向における基板ステージの位置を計測する。第2計測器は、基板の上で第2方向に延びる一つの直線上に位置する複数の計測点で投影光学系の光軸方向における基板の表面位置を計測する。制御器は、基板ステージが第2方向にステップ移動する前と後との双方において、基板の少なくとも1つの同一の領域を第2計測器に複数の計測点7−1〜6の中の互いに異なる計測点で計測させ、第2計測器による計測結果に基づいて基板ステージの第2方向に沿った移動に伴う第1計測器の計測誤差を算出する。
【選択図】図11

Description

本発明は、露光装置及びデバイス製造方法に関する。
半導体露光装置において、ステッパと呼ばれるステップ・アンド・リピート方式の露光装置が現在主流となっている。ステッパは、基板を所定の場所に位置決めして静止させた状態で、パターンが描画された原版を通った光を投影光学系にて一定の比率で縮小し、基板上に塗布された感光剤を感光させて原版のパターンを基板に転写する。基板を支持する基板ステージを逐次駆動させることで、この行程を基板全面にわたって繰り返し行う。基板はウエハとも、原版はレチクルとも、感光剤はレジストとも称される。
ウエハを静止して一括露光するステッパに対し、スキャナと称されるステップ・アンド・スキャン方式の露光装置は、ウエハ及びレチクルを同期走査(スキャン)させながら、より広い領域を露光する。
通常、露光装置を用いた半導体の製造では、同じウエハに対して何度も露光の行程を行う必要がある。つまり、すでにパターンが形成されたショットに対して、別のパターンを精度よく重ねて露光する必要がある。そのためには、ショットの配列を、事前に計測しておく必要がある。パターンに計測用のマークを事前に入れておき、スコープを使ってこの計測用のマークが計測されうる。スコープとして、非露光光を用いたオフアクシススコープ(以下、OASと略す)を用いるのが一般的である。非露光光であるため、レジストを感光させずに計測を行うことができるが、投影光学系を通すことができないため、投影光学系とは離れて配置する必要がある(図6参照)。
通常、スキャナでは、投影光学系の下で露光するとき、駆動を行いながらフォーカス合わせする、リアルタイムフォーカスを行う。図6に示されるように、実際の露光位置(スリット)の前後、つまりY方向にシフトしたところにフォーカスセンサ6A,6Cを配置する。ステージが紙面の下方から走査(スキャン)されて露光するときは、6Cのフォーカスセンサでウエハ表面のZ位置を露光前に計測し、露光位置がスリット位置にくる前にステージをZ方向に駆動させる。紙面の上方からステージがスキャンされるときには、同様に、6Aのフォーカスセンサを用いる。スリットの下でウエハ表面が投影光学系のレンズ像面に追い込まれたかを確認するために、スリット位置にもフォーカスセンサ6Bが配置されている。また、センサをX方向に複数配置することで、スリット内のウエハ表面の傾きも検出できる。このように、露光直前にフォーカスを計測するため、ウエハ全面のフォーカスを予め計測しなくてすみ、スループットが低下しない。
OASを用いた露光シーケンスは、以下の通りである。ウエハが搬送されると、ウエハ上の各サンプルショットの事前に決めておいたマークを、OASを使って計測する。その計測結果から、ウエハの置き誤差(XY、回転成分)や、熱膨張による誤差を算出する。そして、投影光学系のレンズの下にウエハを移動させて、各ショットを露光する。サンプルショットが多ければ、重ね合わせの精度は向上するが、スループットは低下する。また、1ショット内に複数の計測マークを配置し、これを計測することで、ショットの形状に合わせた露光も可能となり、重ね合わせ精度はさらに向上するが、やはり、スループットは低下する。
サンプルショットの計測と露光とを同時に行い、スループットと精度とを向上させるための方式として、ウエハステージを2つ用意し、片方が露光を行っているときに、他方でOASによる計測を行う方式がある(図7参照)。この方式をツインステージ構成と称す。対照的に、ステージが1つの方式を、シングルステージ構成と称す。
ツインステージ構成では、ウエハがロードされると、まず、OASによってショットのXYの位置ずれが計測される。次に、フォーカスセンサがウエハ全面を計測する。このとき、もう片方のウエハステージは、投影光学系のレンズの下にいる。計測が終了したウエハを保持したまま、投影光学系のレンズの下にウエハステージを持って行き、露光を開始する。このとき、他方のウエハステージをOAS位置に駆動し、同様に、ウエハロード、OAS計測、フォーカス計測を、露光と並列して実行する。OAS計測やフォーカス計測が、露光するまでに終了していれば、仮にサンプルショットを増やしたとしても、スループットを悪化させることはない。よって、スループットと精度とを両立させることができる。
フォーカスセンサは、通常X方向に並んだ構成をとる。これは、Y方向にスキャンしたときに、より多くの幅を計測することで、計測時間を短縮するためである。また、後述するレーザ干渉計は、レンズ位置、OAS位置のそれぞれに配置される。
ウエハステージは、2次元平面(XY平面)内の任意の場所に高精度かつ広範囲に亙って駆動されることが要求される。この理由の1つは、半導体回路の微細化が進むにつれ、必要とされる精度がますます厳しいものとなることである。他の理由は、ウエハの大口径化、ウエハを交換するための交換位置への駆動、ウエハに露光されたマークを露光位置以外で計測する場合等、ウエハステージが駆動する領域も、非常に広範囲にわたる必要があるためである。
ウエハステージの位置を検出するために、レーザ干渉計が一般的に用いられている。このレーザ干渉計をXY平面内に配置することで、ウエハステージのXY平面内の位置を計測することができる。例えば、図1のように、ウエハステージ1には、X軸計測用の平面鏡2A(以下、バーミラーと称す)がY軸方向に沿って搭載されうる。X軸方向の位置を計測するレーザ干渉計3A−1は、X軸に略平行にレーザをバーミラー2Aに照射して、その反射光と基準光とを干渉させることで、ウエハステージの相対的な駆動量を検出している。Y軸方向の位置の計測も同様である。X軸、Y軸のどちらか、又は、両方の干渉計を2つ用意することで、ウエハステージのZ軸周りの回転角θzも検出することができる。
レーザ干渉計から得られた位置情報を基に、リニアモータなどのアクチュエータ(図示せず)をXY平面に配置することによって、ウエハステージを所定の場所に駆動することができる。
回路の微細化に伴うレンズの高NA化に伴い、レチクルの像をウエハに転写するためのフォーカス許容範囲(焦点深度)も狭くなり、フォーカス方向(Z方向)の位置決めに要求される精度は厳しくなってきている。そのため、ステージは、XY平面に直交するZ方向(フォーカス方向)、及び、X軸方向に関する傾斜、Y軸方向への傾斜も、高精度に計測し制御する必要がある。X軸方向に関する傾斜はY軸周りの回転であり、チルトあるいはθyと称される。Y軸方向への傾斜はX軸周りの回転であり、チルトあるいはθxと称される。そのため、X軸の干渉計3A−1、3A−2をZ方向に2系統並べて配置して同時に位置計測をして、その計測データの差分からステージのX方向の傾斜θyを計測する方式が提案されている。同じように、Y軸の干渉計3B−1、3B−2をZ方向に2系統配置することで、Y軸方向に関する傾斜θxを計測することができる(図1参照)。
Z方向に関しても、レーザ干渉計で計測する手段が提案されている。図2は、Z方向の位置を検出するためのZレーザ干渉計構成の一例である。ステージに搭載された反射ミラー4Aで、レーザ光が垂直に上方へ反射される。基準となるレンズ定盤には45度の反射ミラー4Bが配置され、ここで、レーザ光は、水平に反射される。ほぼ投影光学系のレンズ中心付近に45度の反射ミラー4Cを設置し、レーザ光は垂直に上から下方へ投射される。ステージには反射ミラー4Dが配置され、レーザ光は、ここで垂直に反射され、来た経路を元に戻る。反射ミラー4Aはステージ上に構成されており、ステージX位置が移動すると、反射されるレーザ光もX方向に移動することになる。よって、45度ミラー4B,4Cは、X方向に長いバーミラータイプを用意する。これで、ステージがX方向に移動しても、ステージの同じ位置にレーザ光を当てることができる。ステージがY方向に移動したとき、反射ミラー4Aは、Xステージに搭載されているため、位置は変化せず、レーザ光の位置も変化しない。すると、ステージのY位置とレーザ光の相対位置が移動するため、ステージ上には、Y方向に伸びたバーミラータイプ4Dを構成する。これにより、Y方向にステージが移動しても、常にレーザ光がステージのミラー面に当たることになる。結果、ステージがXY平面を移動しても、常にレーザ干渉計による計測が可能となる。
ここで述べた構成以外に、ステージにY方向に延びた45度バーミラー4Eを、レンズ定盤にX方向に延びたバーミラー4Fを設置することで、ステージとレンズ定盤面の相対位置を計測することもできる(図3参照)。
図2及び図3のどちらの構成においても、ステージの左右(L側、R側と称す)を対象に、同様の構成を施す。L側及びR側がXY全面で同時に計測できれば、その計測結果の平均を用いることでステージのZ位置をより正確に計測することが可能となり、また、差分を計測することでステージのチルトも計測可能となる。
ステージがX方向に駆動されたとき、その位置決め精度は、X方向に伸びたバーミラー4B,4C,4Fの平面精度の影響を受ける。同様に、ステージがY方向に駆動されたとき、その位置決め精度は、Y方向に伸びたバーミラー4D,4Eの平面精度の影響を受ける。ステージのZ方向の位置決め精度は、先述のとおりnmオーダーの精度が要求されているが、バーミラー全面にわたり、nmの精度で加工し、組み立てることは、技術的にも困難である。
特許文献1には、装置に搭載のフォーカスセンサを用いてステージのXY駆動によるZ誤差を事前に計測し、ステージを駆動させるときの目標位置に加味することで、Z方向の位置決め精度を向上させることが考案されている。ステージのXY駆動によるZ誤差は、バーミラーの加工精度による誤差であり、以下の説明ではステージの走り面と呼ぶこととする。
この手法は、ステージに搭載されたウエハ、又は、ウエハに代わる反射平面の上を、フォーカスセンサを用いて計測するものである。このとき、計測は、ウエハの表面形状の影響を受けるが、複数のフォーカスセンサを用いることでウエハの表面形状の影響を除去し、ステージの走り面の誤差だけを計測する。図5において、ウエハ上のある計測点Pを、フォーカスセンサ6Aで計測し、ステージを駆動させることで、ウエハ上の同じ計測点Pを、別のフォーカスセンサ6Bで計測する。ウエハの形状がどうであれ、同じ計測点Pを計測しているため、フォーカスセンサ6Aと6Bは同じ計測値であるはずである。ここで、同じ計測値にならないのは、ステージの駆動によってZ方向に誤差を持ったためであり、すなわち、バーミラーの加工精度の影響を受けたためである。よって、ウエハ表面の形状の影響を受けずに、バーミラーの形状を計測することができる。
以上で求められたZバーミラーの形状は、ステージ制御用のプロセッサー(図示せず)のメモリー上に保存される。実際の露光のシーケンスでステージを駆動させたいとき、その目標位置からZバーミラーの補正値を算出して、Z方向の位置を補正することが可能となる。その結果、Zバーミラーの形状が補正された理想的な位置に、ステージを位置決め可能となる。
フォーカスセンサを用いたZバーミラー(ZXバーミラー、ZYバーミラーを総称する)の計測は、ウエハ等を用いることで、特殊な工具を用いること無く、装置だけで自己キャリブレーションが可能である点で優れている。Zバーミラーに限らず、XYバーミラーにおいても、装置のリセット時(原点出し時)の衝撃や、経時変化によって変形することも考えられる。よって、定期的にバーミラーの形状を計測する必要もあるため、特殊な工具が不要な点は、非常に重要である。
Z、チルトの基準として、バーミラーを使用する以外の他の手法が図4に示すように存在する。その手法では、ステージ定盤面を基準としてXY平面をスライドするXYステージ上にZ、チルト駆動可能なステージを構成し、リニアエンコーダでXYステージ(ステージ定盤面基準)と、Z、チルトステージの距離を計測する。計測対象が、バーミラーになるのか、ステージ定盤面になるのかの違いであり、どちらにも同様に適応が可能である。以降、バーミラーを用いたZ、チルト計測手段において記述するが、ステージ定盤面を計測したい場合でも、まったく同様である。
特開2001−15422号公報
上記のとおり、フォーカスセンサとウエハを用いることで、Zのバーミラー形状を正確に計測することができる。その結果、ステージをXY方向に駆動する際に、Z方向の誤差分をあらかじめ補正することで、Z方向への位置決め精度が向上し、露光装置のフォーカス精度を向上させることができる。
しかし、バーミラー形状は、さまざまな要因で、徐々に、又は突然変形するおそれがある。例えば、バーミラーをねじ止めしている場合などは、このねじの締め付けによる応力により、経時的に徐々にバーミラーがもとの形状に戻ろうとする。これは、比較的長期間にわたり、バーミラーの形状が徐々に変形していくケースである。また、レーザ干渉計は相対位置計測系であるため、装置の電源投入時には、ステージの原点位置を確定させる必要がある。そのとき、機械的に突き当てを行い、原点位置を確定させる手段を用いることがある。この場合、ステージにそれ相応の力がかかることとなり、場合によっては、バーミラーの変形要因となりうる。
バーミラーの固定に接着剤を用いる場合も同様で、接着面の経時変化や、機械的な突き当て時の力で、バーミラーの変形が発生しうる。
つまり、nm単位で見ると、機械的にバーミラーの形状を変動させないことは、非常に困難である。特許文献1記載の手法によって、Zバーミラーの形状を高精度に補正することができたとしても、徐々に、又は、突然に、Zの位置決め精度が悪化してしまう。
そこで、定期的に、上記手法により、Zバーミラーの形状を計測する必要がある。しかし、この場合でも、露光処理を止めて計測を行う必要があるため、露光装置の生産性を落としてしまう。また、バーミラーの変形の速度は、露光装置によってまちまちであるため、適切な計測時期を知るのは困難である。計測する間隔が長すぎると、バーミラーの変形が大きくなりすぎ、不良品を発生させてしまう恐れがあり、逆に計測を頻繁に行うと、露光装置の稼働率を下げ、生産性を低下させてしまう。さらに、いずれの場合も、バーミラーが突然変形したときには、対応できない。
本発明は、基板ステージの駆動に起因して発生する投影光学系の光軸方向における誤差を、露光処理を止めることなく計測しうる露光装置を提供することを目的とする。
本発明は、投影光学系を介してレチクルのパターンを基板に投影して前記基板を走査露光する露光装置であって、
前記基板を保持する基板ステージを、前記投影光学系の光軸方向と直交する第1方向に走査移動させ、前記光軸方向及び前記第1方向に直交する第2方向にステップ移動させる駆動機構と、
前記駆動機構が前記基板ステージを前記第1方向に走査移動させるときに、前記投影光学系の光軸方向における前記基板ステージの位置を計測する第1計測器と、
前記基板の上で前記第2方向に延びる一つの直線上に位置する複数の計測点で前記投影光学系の光軸方向における前記基板の表面位置を計測する第2計測器と、
制御器と、
を備え、
前記制御器は、前記基板ステージが前記第2方向にステップ移動する前と後との双方において、基板の少なくとも1つの同一の領域を前記第2計測器に前記複数の計測点の中の互いに異なる計測点で計測させ、当該第2計測器による計測結果に基づいて前記基板ステージの第2方向に沿った移動に伴う前記第1計測器の計測誤差を算出する、ことを特徴とする。
本発明によれば、基板ステージの駆動に起因して発生する投影光学系の光軸方向における誤差を、露光処理を止めることなく計測しうる露光装置を提供することができる。その結果、露光装置の精度と生産性を両立させることが可能となる。
以下、本発明に係る露光装置の実施形態を、シングルステージ構成、ツインステージ構成に分けて説明する。
[ツインステージ構成の露光装置]
ツインステージ構成の露光装置は、露光領域と、計測領域と、露光領域及び計測領域を移動可能な複数の基板ステージとを有し、計測領域で計測された基板をその計測結果に従って位置決めしながら露光領域で露光する。
ツインステージ構成の露光装置は、図7に示されるように、計測領域のOAS位置で露光処理の前に投影光学系の光軸方向における基板(ウエハ)の表面位置(高さ位置)を計測する。基板の高さ位置(フォーカス位置)を計測する第2計測器(フォーカスセンサ)の複数の計測点7−1〜5は、X方向に延びる一つの直線上に位置する構成をとる。X方向は、投影光学系の光軸方向と直交する第1方向(Y方向)及び前記光軸方向とに直交する第2方向である。図8に示すように、基板を保持する基板ステージを図示しない駆動機構によってY方向に走査移動(スキャン)させて、フォーカスセンサを用いて複数の計測点7−1〜5で1列分の領域9Aのフォーカス計測を行う。通常、1〜数mmピッチで、Y方向にフォーカス計測点10が並ぶことになる。次に、駆動機構によって基板ステージをX方向にステップ移動させ、その後、同様に、基板ステージをY方向に走査移動させながら、隣の列の領域9Bをフォーカス計測する。これを繰り返すことで、露光が開始される前に、基板全面のフォーカス計測を事前に行っておく。
このとき、通常、1列のフォーカス計測の領域は、ショットの幅と同じか、スループット向上のために複数のショットの幅を計測する場合もある。いずれの場合も、フォーカス計測範囲を計測するに十分な数のフォーカスセンサを配置する。
このようなツインステージ構成において、本発明に係る実施形態では、図10に示されるように、1つの計測点7−6が本来の計測領域の外を計測するように、6つの計測点7−1〜6を配置する。これにより、1つの計測点7−6が隣接するフォーカス計測領域を計測することになる。本実施形態では、計測領域の外を計測する計測点の数を1つとしたが、2つ以上とすることもできる。
図10において9Aの領域を計測する場合、一番右の計測点7−6が、隣接する領域(図11における9B)を計測する。領域9Aを計測する場合における右端の計測点7−6の計測値を、B(X0)とする。先述の通り、Y方向は1〜数mmピッチで計測するため、B(X0)も、Y方向に複数計測される。ここでは、便宜上、基板の中心、つまり、Y=0の位置の計測値だけに注目する。後述するが、すべてのY位置のデータを平均化してしまっても、理論上、結果は同じである。(平均効果で、計測精度は向上する可能性はある。)
次に、隣接する領域9Bを計測する場合、左端の計測点7−1が、先の領域9Aを計測したときに右端の計測点7−6が計測した位置と同じ位置(図11の11)であるようにする。領域9Bを計測するときの計測点7−1の計測値をA(X1)とし、計測点7−6の計測値をB(X1)とする。
同様にして、次々と隣接した領域をフォーカスセンサが6つの計測点7−1〜6でフォーカス計測することで、基板全面に亙ってフォーカス計測を行う。
ここで得られた計測値から、ZXバーミラーの形状を算出する手法は、特許文献1などで記述されている。ここでは簡単な概念だけを記述する。
n個の領域を基板ステージがX方向にステップ移動する前と後との双方において、基板の同一の領域を互いに異なる右端の計測点7−6と左端の計測点7−1とで計測することにより得られる計測結果は、以下のとおりである。ここで、B(X0〜Xn−1)は、基板ステージ位置XがX0〜Xn−1における右端の計測点7−6での計測結果である。A(X1〜Xn)は、基板ステージ位置XがX1〜Xnにおける左端の計測点7−1での計測結果である。
B(X0)
B(X1)、 A(X1)
B(X2)、 A(X2)

B(Xn―1)、A(Xn―1)
A(Xn)
このとき、X0〜Xnは以下の関係にある。
X1=X0+XF
X2=X1+XF=X0+2XF

Xn=Xn−1+XF=X0+nXF
ここで、XFは、フォーカス計測領域の幅であり、隣接する領域を計測するために、基板ステージがステップ移動する幅である。
B(X0)とA(X1)は、基板上の同じ位置11の計測結果である。つまり、ステージ走りが理想的平坦であれば、同じ値を示すはずである。つまり、この2つの計測結果の差が、ステージの走りが理想面からずれた量、すなわち、ZXバーミラーの形状の影響をうけたものである。この量を、基板ステージがX0→X1に駆動したときの、Z誤差ΔZとして、下記のように定義する。
ΔZ(X0→X1)=A(X1)−B(X0)
ΔZ(X1→X2)=A(X2)−B(X1)

ΔZ(Xn−1→Xn)=A(Xn)−B(Xn−1)
上記式は、基板ステージが、XFだけ駆動したときの、誤差の差分を示している。これを、ZXのバーミラーの絶対値Z(X0〜Xn)に変換するためには、上記を累積していけばよい。このとき、絶対値の基準がないため、とりあえず、基板ステージがX0のときにおけるバーミラーの誤差成分を0と仮定する。
Z(X0)=0
Z(X1)=Z(X0)+ΔZ(X0→X1)=0+ΔZ(X0→X1)
Z(X2)=Z(X1)+ΔZ(X1→X2)=0+ΔZ(X0→X1)+ΔZ(X1→X2)

Z(Xn)=Z(Xn−1)+ΔZ(Xn−1→Xn)=ΔZ(X0→X1)+・・・+ΔZ(Xn−1→Xn)
ここで、X=X0を、ZXバーミラーの形状を判断する基準としたが、これは、計算の便宜上であり、どこを基準としても問題はない。たとえば、X=Xcを、ZXバーミラーの形状を判断する基準としたい場合、全配列から、Z(Xc)の値を引いてやればよい。その場合には、以下のようになる。
Z(X0)→Z(X0)―Z(Xc)
Z(X1)→Z(X1)―Z(Xc)

Z(Xc)→Z(Xc)―Z(Xc)=0

Z(Xn)→Z(Xn)―Z(Xc)
ここで得られるZXバーミラーの形状は、あくまで、前回、計測・補正してからの変化量である。つまり、ZXバーミラーが変化していなければ、上記関数Z(X)は、0か、計測誤差程度のごく小さい値となる。
ここで、閾値をあらかじめ設定しておき、Z(X)が、閾値を超えたら、改めて、ZXバーミラーを精密に計測する時期を決定するように設けうる。この手法は、例えば、特許文献1に示されている。
もちろん、ここで求められたZ(X)のデータを、ZXバーミラーの補正関数に加算することも可能である。このとき、同一の点を重複して計測するセンサが1つでなく複数であれば、ステージがX駆動したときのZ誤差のみでなく、チルトの誤差も計測することが可能である。
以上のように、本来のフォーカス計測領域における計測点の数より多く計測点を設けることで、ZXバーミラーの形状の変動を計測することが可能である。
ここで、ZXバーミラーは、干渉計とともに、基板ステージが走査移動するときに基板ステージの高さ位置を計測する第1計測器を構成している。また、上述したZXバーミラー及び干渉計に起因する計測誤差を不図示の制御器が算出する。
上記のような計測手法が成立するのは、X方向へのステップサイズXFと、計測点7−1と7−6との距離が一致している場合のみである。X方向へのステップサイズは、フォーカス計測領域のX方向のサイズと同じであり、つまり、ショットサイズの整数倍(複数ショット同時計測の場合、図8の場合は、2ショットの幅)である。よって、ショットサイズによっては、2つの計測点での基板の表面位置がぴったりとは重ならない。
基板の表面が、十分に平坦であれば、必ずしも、2つの計測点での基板表面位置がぴったりとは一致しなくても、基板表面位置が互いに近接していたら誤差は大きくない。つまり、各計測点の間隔を、十分に小さくしておけば、すなわち、フォーカス計測領域内に多くの計測点が存在するように設けておけば、十分に実用に耐えることが可能となる。
この場合、もちろん、コストなどの問題もあるため、必ずしも、計測点を多く配置できるとは限らない。しかしながら、図8のような、通常のフォーカス計測を行う領域にしか、計測点が配置されていない構成においても、本発明は適用可能である。すなわち、図10のように、本来のフォーカス計測領域の計測点より多く計測点を設けるのでなく、ZXバーミラーを計測したい時だけ、フォーカス計測領域を小さくすればよい。
この態様を図12に示す。ここで、本来のフォーカス計測は2列同時に行われる。フォーカスセンサの計測点は、2ショット分の幅の内側にしか構成されていない。本来のフォーカス計測領域の幅は、2ショット分の幅と同じになるように設定するが、フォーカスセンサを用いてステージ走りによる誤差を算出するモードにおいては、フォーカス計測領域9Cを2ショット分の幅より小さくする。すると、フォーカスセンサの右端の計測点7−5は、フォーカス計測領域9Cの外に位置することになる。続いて、基板ステージをX方向にステップ駆動して、同様に、フォーカス計測領域9Dを計測する。このとき、左端の計測点7−1が、右端の計測点7−5で先に計測した同じ基板表面位置11を計測する。このように、ステージ走りによる計測誤差を算出するモードにおけるフォーカス計測領域を、ショットの幅(の2倍)より小さくすることで、同様の効果を得ることができる。
このとき、フォーカス計測領域が、X方向に小さくなってしまうため、基板全面を計測するためには、より多くの領域を計測しなければならない。つまり、計測時間は増加してしまう。
また、すでに露光済みの基板を重ね焼きする場合(下地がある場合)、下地のパターンによる、フォーカスセンサのだまされ(誤差)成分を、除去する必要がある。通常は、基板全面において、全ショットの共通成分、つまり、全ショットの平均値を、計測値から引いてやる必要がある。X方向のステップサイズXFが、ショットの整数倍の場合、フォーカスセンサは、各計測点でショット内の同じ場所を計測するため、先の手段により、基板全体におけるショット共通成分を除去することは、容易である。しかし、フォーカス計測領域9Cを2ショット分の幅より小さくするような場合では、フォーカス計測領域毎に、各計測点でのショット内の基板表面位置が異なってしまう。
そこで、このような場合には、下地のパターンを有さない基板に露光する場合に限るなど、条件を設けてもよい。下地のパターンがなければ、パターンによるだまされも生じないため、上記問題は発生しない。また、下地のパターンがない基板については、下地のショットの位置を正確に計測するアライメント計測が不要であるため、そもそも、計測ステーション側は、時間的に余裕がある。よって、下地のパターンがない基板だけについて、Zバーミラーの計測を行うことで、スループットの低下もおさえることができる。
通常、バーミラーの変形は、ゆるやかであるため、必ずしも、毎回、Z方向の誤差を計測する必要はない。ある一定期間ごとでも十分である。よって、下地のパターンがない基板にだけ、Z方向の誤差を計測しても十分である。例えば、予め定められた枚数の基板が処理される毎に計測することとしうる。基板処理枚数の代わりに、予め定められた時間が経過する毎に計測するように設けてもよい。また、露光装置の電源を投入した直後は、バーミラーの形状が突然変形している可能性もあるため、電源を投入したときに、Z方向の誤差を計測することが望ましい。
下地のパターンがある基板において、ZXバーミラーを計測したい時だけフォーカス計測領域を小さくする場合、ショット共通成分を基板全面で取り除くのではなく、ショット共通成分をフォーカス領域毎に取り除きうる。すなわち、図12において、ショットの共通成分を領域9Cにて除去する。通常、Y方向に並んだショットでは、フォーカスセンサが、各計測点で同じ基板表面位置を計測するので、この単位、つまり、Y方向に並んだショットの単位で、ショットに共通の成分を除去すればよい。領域9Cでは、ショットが2列あるので、同様な処理を2回行うことになる。同様に、領域9Dにおいても、Y方向に並んだショット共通成分を除去する。少しでも計測を行ったショットに関して行うので、領域9Dでは、3回行うことになる。これを、基板全面において、繰り返すことで、パターンによるだまされを除去することが可能となる。この結果、本来のフォーカス計測領域の計測点より計測点が多く設置される場合、XステップサイズXFがショットのX幅の整数倍でない場合も、下地のだまされを除去しながら、Zバーミラーの誤差の計測が可能である。ただし、図10のように、基板全面で共通の成分を算出した方が、精度は良くなる。
以上、ZXバーミラーの誤差の計測方法について述べた。ZXバーミラーによる誤差は、基板ステージがX方向に駆動したときのZ誤差である。ここでは、各フォーカス計測領域において、Y=0のみに注目したが、すべてのY位置において、B(X0)とA(X1)の差は同じである。よって、すべてのY位置において、平均化してしまっても、同様にZXバーミラーの形状を計測可能であり、かつ、平均化効果により、計測誤差を低減させることが可能となる。
以上、ZXバーミラーについて述べた。しかし、ZYバーミラーについても、図13のように、Y方向に基板ステージを駆動したときに重なるよう、Y方向にシフトさせた計測点8を配置することで、誤差を計測可能である。
Y方向への計測ピッチは、自由に設定できるため、計測点が必ず重なるようにすることは容易である。Y方向へずらして配置されたセンサ位置がYLだけ離れたとすれば、基板全面をフォーカス計測する際の計測ピッチを、YLと同じ、又は、YLの整数分の1とすればよい。そうすれば、複数のY方向にシフトさせた計測点と同じ基板表面位置を、異なる計測点での基板表面位置と重ねること可能となる。以下は、X方向と同様な原理で、ZYのバーミラーの形状を算出可能である。よって、以下の説明は割愛する。
[シングルステージ構成の露光装置]
次に、シングルステージ構成の露光装置で用いられる、露光直前にフォーカス計測する場合について、説明する。
露光直前にフォーカス計測を行う手法は、静止露光方式とスキャン露光方式とで異なる。ここでは、近年主流のスキャン露光方式について述べる。
スキャン露光方式のステージ構成及びフォーカスセンサの配置を図6に示す。露光光は、露光スリットを通り、矩形の露光形状を形成する。基板ステージを駆動させることによって、矩形の露光範囲が基板上を移動し、1ショット分、露光を行う。よって、1ショットの露光領域の大きいことが特徴である。
露光スリット長手方向を第1の方向(X方向)とし、露光スリット短手方向を第2の方向(Y方向)とする。基板ステージは、露光しながらY方向に走査移動(スキャン)し、隣接したショットを露光するためにX方向へステップ移動することとなる。
フォーカスセンサ6A,6Cは、通常、露光スリットの数mm手前に配置されており、露光スリットが露光領域に入る事前にフォーカス計測を行う。露光スリットが露光領域に入るまでに、基板ステージをZ方向に駆動することで、ベストなフォーカスで露光を行うことが可能となる。このフォーカスセンサの計測点を、X方向やY方向に複数設けることで、露光スリットにおけるチルトも計測可能となる。図では、便宜上、X方向のみに配置した例を図示している。
このようなステージ構成における具体的な方式を、以下に述べる。
従来のフォーカスセンサは、最大露光幅(X方向の幅)より小さい領域内のZ方向位置及びチルトを計測する。しかし、本実施形態では、図9のように、最大露光領域よりを超える範囲にも、計測点が存在するように設ける。
図14に沿って説明する。基板ステージが第1露光領域12−1を走査移動させて露光する場合、露光スリットが露光領域に到達する前に、計測点6A−1〜3で基板上の高さを計測する。露光スリットが露光領域に到達したときには、基板ステージのZ方向及びチルトの駆動が終了している。このとき、ステージが追い込まれたかどうかを確認するために、スリット位置を計測するフォーカスセンサを用いて計測点6B−1〜3で計測を行う。ここまでは、通常の露光シーケンスでも行われる。しかし、本実施形態では、余分に配置された右端の計測点6B−4で、露光領域の外側の隣接する露光領域12−2を計測することになる。第1露光領域12−1の露光終了後、基板ステージをX方向にステップ移動させて隣接した第2露光領域12−2を露光する場合、左端の計測点6B−1で、第1露光領域12−1の露光時に右端の計測点6B−4で計測した同じ基板表面位置13を計測する。
以降は、ツインステージの時と同様である。すなわち、基板上の同じ位置を計測しているため、同じ計測値であるはずである。これが異なる計測値となるのは、基板ステージがX駆動によって、Z方向への誤差が生じているためである。その原因は、Zバーミラーの経時変化であり、調整が必要であることを示している。この計測を基板全面について行うことで、基板ステージ駆動範囲におけるZバーミラーの形状を算出することが可能である。
ツインステージ構成の場合、通常、基板のZ方向及びチルトは、一定の状態で、隣接した領域を計測する。それに対し、シングルステージ構成、つまり、リアルタイムフォーカスの場合、露光領域ごとに基板ステージのZ方向及びチルトを駆動している。そのため、フォーカスセンサの計測値だけでなく、基板ステージの駆動量も計算に含める必要がある。単純化のため、Z方向にのみ基板ステージを駆動した場合を考える。ツインステージ構成の場合と同様、ステージX位置における、計測点6B−4での計測結果をB(X)、計測点6B−1での計測結果をA(X)とし、基板ステージのZ駆動量をS(X)とすると、それらは以下のようになる。
B(X0)、 S(X0)
B(X1)、 A(X1)、 S(X1)
B(X2)、 A(X2)、 S(X2)

B(Xn−1)、A(Xn−1)、S(Xn−1)
A(Xn)、 S(Xn)
Z誤差ΔZは基板ステージの駆動量を考慮して以下のようになる。
ΔZ(X0→X1)={A(X1)−S(X1)}−{B(X0)−S(X0)}
ΔZ(X1→X2)={A(X2)−S(X2)}−{B(X1)−S(X1)}

ΔZ(Xn−1→Xn)={A(Xn)−S(Xn)}−{B(Xn−1)−S(Xn−1)}
シングルステージ構成においても、ツインステージ構成と全く同様に、基板全面におけるZバーミラーの形状を算出することができる。
チルト駆動を伴う場合、回転中心からの距離とチルト量からフォーカス計測位置におけるZ量に変換すれば、上記と同様に計算できる。
ステージ位置XにおけるZ方向の駆動量をZs(X)、X方向への傾きをTilt−X(X)、回転中心からフォーカス計測位置までの距離をXrot(X)、Y方向への傾きをTilt−Y(X)とする。また、回転中心からフォーカス計測位置までの距離をYrot(X)とすると、以下の関係が成り立つ。
S(X)=Zs(X)+Tilt−X(X)・Xrot(X)+Tilt−Y(X)・Yrot(X)
各X位置(X0,X1,・・・Xn)において、上記式を適応すればよい。
ここで、フォーカス計測位置から回転中心までの距離は、各X位置において可変としているが、ステージの制御方式によっては、固定値になる場合もある。また、回転角について、どちらの方向を正方向(+)とするかによって、上記式の符号も反転し、加算部分が減算になる。ここでは、詳細には触れない。
上記は、便宜上、Y=0の位置のみで記述しているが、通常、1つの露光領域内に数mm間隔で複数の計測位置がY方向に並んでいる。これらをすべて平均化してもよい。また、露光領域も、Y方向に複数並んでいるので、さらに平均化することで、計測誤差の影響を減少させることが可能となる。
また、上記式は、中心に配置されるフォーカスセンサの計測点6B−1と6B−4とを重ね合わせることを前提に記述しているが、先読みセンサの計測点6A−1と6A−4、又は、6C−1と6C−4を重ね合わせても、同様な効果を得ることができる。よって、これらのどれか1つの組合せを使用してもよいし、すべての組合せの平均を用いてもよい。
図14は、フォーカスセンサの計測点を、片側だけに1つ多く配置した例を記載している。しかし、露光領域の両側に1つづつ多く配置したり、複数多く配置したりすると、X駆動におけるZ方向の誤差のみでなく、Tiltの誤差も計測しうる。ただし、多くの計測点を配置すれば、コストももちろん高くなる。
上記式が成立する場合は、計測点6B−1と6B−4で計測される基板表面位置が完全に重なる、つまり、6B−1と6B−4の間隔と、露光領域(ショット)の幅(X方向へのステップ駆動量)とが同じ場合である。しかし、通常の露光においては、露光領域の幅は、露光するパターンによってさまざまである。そこで、フォーカスセンサの計測点6B−1と6B−4で基板上の同じ位置を計測しなくても、ごく近傍を計測したときも、同様なことを行っても良い。とくに、下地に露光済みのパターンがない場合で、基板の平坦度が十分に良ければ、十分に計測は可能である。
また、図14は、露光領域内に3つの計測点6B−1〜3、露光領域外に1つの計測点6B−4を配置した図を記載している。これらの計測点間の間隔を小さくし、より多くの計測点を配置することで、いかなるショットの幅でも、2つ以上の計測点で基板上の同じ(又はごく近傍)の位置を計測することが可能となる。
先述の通り、通常の露光シーケンスにおいて、ショットの幅は様々であり、常に、最大露光幅で露光を行うとは限らない。露光領域の幅(X方向)が、フォーカスセンサの幅よりも小さい場合に、シングルステージ構成のリアルタイムフォーカスにおいてもZ方向の誤差成分を算出し得る。例えば、露光装置の電源を投入したとき、あるいは基板ステージの原点位置を決定するとき、又は露光処理を行うロットの最初の基板に対してZ方向の誤差成分を算出し得る。この場合、最大露光幅より多くの計測点を配置する必要がない。すなわち、図14でいう、計測点6B−4が不要であるため、コスト的にも有利である。およそすべての露光装置において、実施可能である。ただし、すべての露光において、本発明に係るフォーカス計測手法が可能ではなく、あくまで、フォーカスセンサの幅より小さいショットを露光するときに限定される。以下は、同様であるため、割愛する。
X方向のZバーミラーに関しては、上記の手法で調整可能である。Y方向に関しては、通常、先読みセンサが配置されているので、改めて計測点を配置する必要はない。通常の露光シーケンス中に、同じ位置を別の計測点で計測することでZ方向の誤差成分を算出し得る。すなわち、事前にフォーカスセンサ6A−1〜3で計測した基板上の位置を、Y方向にスキャンした後、フォーカスセンサ6B−1〜3で計測すれば、同様の手段を用いることが可能となる。
なお、静止露光の場合は、スキャン露光装置のX方向の場合と、同等のことがいえる。すなわち、最大露光幅よりXY方向に広い範囲に計測点を配置したり、また、計測点の範囲よりX又はY方向に小さい領域を露光したりすればよい。
上記手法により、Zのバーミラーの形状が算出された後の処理は、ツインステージの場合と、まったく同様である。閾値をあらかじめ設定しておき、Z(X)が閾値を超えたら、改めてZXバーミラーの計測を行ってもよい。ここで求められたZ(X)のデータを、ZXバーミラーの補正関数に加算してもよい。
計測時期もショット毎に行ってもよいし、下地のパターンを有さない基板に対してだけ本提案の計測手法を用いてもよい。予め設定された枚数の基板が処理される毎に、本発明の計測手法を行ってもよいし、予め設定された時間が経過する毎に本発明の手法を行ってもよい。また、電源を投入した直後には、本発明による計測手法を必ず行うとしてもよい。
[露光装置の説明]
本発明で適用される例示的なシングルステージ構成の露光装置を説明する。露光装置は図15に示すように、照明装置101、レチクルを搭載したレチクルステージ102、投影光学系103、基板を保持する基板ステージ1とを備える。上述したように基板ステージ1は、不図示の駆動機構によってY方向に操作移動され、X方向にステップ移動される。露光装置は、レチクルに形成された回路パターンを基板に投影して走査露光する。
照明装置101は回路パターンが形成されたレチクルを照明し、光源部と照明光学系とを有する。光源部は、例えば、光源としてレーザを使用する。レーザは、波長約193nmのArFエキシマレーザ、波長約248nmのKrFエキシマレーザ、波長約153nmのF2エキシマレーザなどを使用することができる。しかし、レーザの種類はエキシマレーザに限定されず、例えば、YAGレーザを使用してもよいし、そのレーザの個数も限定されない。光源にレーザが使用される場合、レーザ光源からの平行光束を所望のビーム形状に整形する光束整形光学系、コヒーレントなレーザ光束をインコヒーレント化するインコヒーレント化光学系を使用することが好ましい。また、光源部に使用可能な光源はレーザに限定されるものではなく、一又は複数の水銀ランプやキセノンランプなどのランプも使用可能である。照明光学系はマスクを照明する光学系であり、レンズ、ミラー、ライトインテグレーター、絞り等を含む。
投影光学系103は、複数のレンズ素子のみからなる光学系、複数のレンズ素子と少なくとも一枚の凹面鏡とを有する光学系、複数のレンズ素子と少なくとも一枚のキノフォーム等の回折光学素子とを有する光学系、全ミラー型の光学系等を使用することができる。
レチクルステージ102及び基板ステージ1は、例えばリニアモータによって移動可能である。それぞれのステージは同期して移動する。また、レチクルのパターンを基板上に位置合わせするために基板ステージ1及びレチクルステージ102に不図示のアクチュエータ(駆動機構)を備える。
図15に示されるような露光装置を用いて基板を露光する工程の後、露光された基板を現像する工程等を経て、半導体集積回路等の半導体デバイスや、マイクロマシン、薄膜磁気ヘッド等の微細なパターンが形成されたデバイスが製造されうる。
基板ステージのチルトに関する計測が可能な基板ステージ構成を示す図である。 Zレーザ干渉計の構成の一例を示す図である。 Zレーザ干渉計の構成の他例を示す図である。 ステージ定盤に基準ステージを設けた構成の一例を示す図である。 複数フォーカスセンサによるステージ位置に依存したZ誤差を計測する方法を示す図である。 シングルステージ構成における、フォーカスセンサ及びOASの構成を示す図である。 ツインステージ構成における、フォーカスセンサ及びOASの構成を示す図である。 ツインステージ構成において基板全面を事前計測する模様を示す図である。 シングルステージ構成においてフォーカス計測領域外にフォーカスセンサを配置した構成を示す図である。 ツインステージ構成におけるフォーカス計測領域外にフォーカスセンサを配置した構成を示す図である。 ツインステージ構成において隣接したフォーカス計測領域を計測する模様を示す図である。 ツインステージ構成においてY方向にフォーカスセンサを配置した図である。 シングルステージ構成において隣接したフォーカス計測領域を計測する模様を示す図である。 シングルステージ構成の露光装置を説明する図である。 露光装置の一例を示す図である。
符号の説明
1:基板ステージ
2A:Xバーミラー
2B:Yバーミラー
3A−1:Xレーザ干渉計
3A−2:チルトレーザ干渉計
3A−3:ヨーレーザ干渉計
3B−1:Yレーザ干渉計
3B−2:チルトレーザ干渉計
3B−3:ヨーレーザ干渉計
4A:45度ミラー
4B:45度バーミラー
4C:ZXバーミラー
4D:ZYバーミラー
4E−1:ZYバーミラー(ZYL)
4E−2:ZYバーミラー(ZYR)
4F−1:ZXバーミラー(ZXL)
4F−2:ZXバーミラー(ZXR)
5:基板ステージ定盤
6A−1〜4:フォーカスセンサ(先読み)の計測点
6B−1〜4:フォーカスセンサ(中心)の計測点
6C−1〜4:フォーカスセンサ(先読み)の計測点
7−1〜6:フォーカスセンサの計測点
8:Y計測用フォーカスセンサ
9A:フォーカス計測領域
9B:隣接したフォーカス計測領域
9C:フォーカス計測領域
9D:隣接したフォーカス計測領域
11:重複して計測される基板上の位置
12−1:露光領域1
12−2:隣接した露光領域2
13:重複して計測される基板上の位置

Claims (10)

  1. 投影光学系を介してレチクルのパターンを基板に投影して前記基板を走査露光する露光装置であって、
    前記基板を保持する基板ステージを、前記投影光学系の光軸方向と直交する第1方向に走査移動させ、前記光軸方向及び前記第1方向に直交する第2方向にステップ移動させる駆動機構と、
    前記駆動機構が前記基板ステージを前記第1方向に走査移動させるときに、前記投影光学系の光軸方向における前記基板ステージの位置を計測する第1計測器と、
    前記基板の上で前記第2方向に延びる一つの直線上に位置する複数の計測点で前記投影光学系の光軸方向における前記基板の表面位置を計測する第2計測器と、
    制御器と、
    を備え、
    前記制御器は、前記基板ステージが前記第2方向にステップ移動する前と後との双方において、基板の少なくとも1つの同一の領域を前記第2計測器に前記複数の計測点の中の互いに異なる計測点で計測させ、当該第2計測器による計測結果に基づいて前記基板ステージの第2方向に沿った移動に伴う前記第1計測器の計測誤差を算出する、ことを特徴とする露光装置。
  2. 前記制御器は、前記第1計測器の計測誤差を算出するモードにおいて、前記第2計測器が基板の少なくとも1つの同一の領域を互いに異なる計測点で計測できるように、前記基板ステージが前記第2方向にステップ移動する幅を小さくすることを特徴とする請求項1に記載の露光装置。
  3. 前記制御器は、前記算出した第1計測器の計測誤差を用いて前記第1計測器の計測結果を補正することを特徴とする請求項1又は請求項2に記載の露光装置。
  4. 前記第1計測器は、バーミラー及び干渉計を含み、
    前記制御器は、前記算出した第1計測器の計測誤差に基づいて前記バーミラーを計測する時期を決定することを特徴とする請求項1乃至請求項3のいずれか1項に記載の露光装置。
  5. 前記第2計測器は、基板の少なくとも1つの同一の領域における互いに異なる計測点での前記計測を、前記露光装置の電源を投入したとき、前記基板ステージの原点位置を決定するとき、又は、露光処理を行うロットの最初の基板が前記基板ステージに搭載されたときに行うことを特徴とする請求項1乃至請求項4のいずれか1項に記載の露光装置。
  6. 前記第2計測器は、基板の少なくとも1つの同一の領域における互いに異なる計測点での前記計測を、予め定められた枚数の基板が処理される毎に、又は、予め定められた時間が経過する毎に行うことを特徴とする請求項1乃至請求項4のいずれか1項に記載の露光装置。
  7. 前記第2計測器は、基板の少なくとも1つの同一の領域におけるする互いに異なる計測点での前記計測を、下地のパターンを有さない基板に対して行うことを特徴とする請求項1乃至請求項4のいずれか1項に記載の露光装置。
  8. 前記露光装置は、投影光学系を介して基板を露光する露光領域と、基板を計測する計測領域と、前記露光領域及び前記計測領域を移動可能な複数の基板ステージとを有し、前記計測領域で計測された基板をその計測結果に従って位置決めしながら前記露光領域で露光する露光装置であって、
    前記第1計測器と前記第2計測器とは前記計測領域に配置されていることを特徴とする請求項1乃至請求項7のいずれか1項に記載の露光装置。
  9. 前記露光装置は、基板を前記第1計測器の計測結果に基づいて位置決めしながら露光することを特徴とする請求項1乃至請求項7のいずれか1項に記載の露光装置。
  10. 請求項1乃至請求項9のいずれか1項に記載の露光装置を用いて基板を露光する工程と、
    前記工程で露光された基板を現像する工程と、
    を含むデバイス製造方法。
JP2008150836A 2008-06-09 2008-06-09 露光装置及びデバイス製造方法 Pending JP2009295932A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008150836A JP2009295932A (ja) 2008-06-09 2008-06-09 露光装置及びデバイス製造方法
US12/469,118 US7884918B2 (en) 2008-06-09 2009-05-20 Exposure apparatus and method of manufacturing device
TW098118211A TW201007373A (en) 2008-06-09 2009-06-02 Exposure apparatus and method of manufacturing device
KR1020090050948A KR101124730B1 (ko) 2008-06-09 2009-06-09 노광 장치 및 디바이스 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008150836A JP2009295932A (ja) 2008-06-09 2008-06-09 露光装置及びデバイス製造方法

Publications (2)

Publication Number Publication Date
JP2009295932A true JP2009295932A (ja) 2009-12-17
JP2009295932A5 JP2009295932A5 (ja) 2011-07-21

Family

ID=41400630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008150836A Pending JP2009295932A (ja) 2008-06-09 2008-06-09 露光装置及びデバイス製造方法

Country Status (4)

Country Link
US (1) US7884918B2 (ja)
JP (1) JP2009295932A (ja)
KR (1) KR101124730B1 (ja)
TW (1) TW201007373A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016502070A (ja) * 2012-10-24 2016-01-21 エーエスエムエル ネザーランズ ビー.ブイ. オブジェクト位置決めシステム、リソグラフィ装置、およびデバイス製造方法
JP7475185B2 (ja) 2020-04-10 2024-04-26 キヤノン株式会社 計測方法、インプリント装置及び物品の製造方法

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
NL2009197A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv System for detection motion, lithographic apparatus and device manufacturing method.
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN103309167B (zh) * 2012-03-09 2015-06-17 上海微电子装备有限公司 运动台定位精度的测量系统及测量方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6066610B2 (ja) * 2012-07-31 2017-01-25 キヤノン株式会社 露光方法、露光装置及びデバイス製造方法
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
EP3332292A1 (en) * 2015-08-04 2018-06-13 ASML Netherlands B.V. Position measurement system, interferometer and lithographic apparatus
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110823922A (zh) * 2018-08-10 2020-02-21 鸿富锦精密电子(天津)有限公司 外观检测装置
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4172517A1 (de) * 2020-06-30 2023-05-03 EV Group E. Thallner GmbH Vorrichtung und verfahren zum ausrichten von substraten
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203842A (ja) * 2002-01-07 2003-07-18 Canon Inc 位置決めステージ、露光装置、半導体デバイスの製造方法
JP2005252246A (ja) * 2004-02-04 2005-09-15 Nikon Corp 露光装置及び方法、位置制御方法、並びにデバイス製造方法
JP2006186380A (ja) * 2004-12-27 2006-07-13 Asml Netherlands Bv Z位置の誤差/ばらつき、及び基板テーブルの平坦度を決定するためのリソグラフィ装置及び方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3282751B2 (ja) 1993-07-14 2002-05-20 株式会社ニコン 走査型露光装置、及び該装置を用いる素子製造方法
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH11168050A (ja) 1997-12-04 1999-06-22 Nikon Corp 露光方法及び装置
JP4261689B2 (ja) 1999-07-01 2009-04-30 キヤノン株式会社 露光装置、当該露光装置に対して用いられる方法、及び当該露光装置を用いたデバイスの製造方法
SG103303A1 (en) * 2000-07-07 2004-04-29 Nikon Corp Exposure apparatus, surface position adjustment unit, mask, and device manufacturing method
JP4315455B2 (ja) * 2006-04-04 2009-08-19 キヤノン株式会社 露光装置及びデバイス製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003203842A (ja) * 2002-01-07 2003-07-18 Canon Inc 位置決めステージ、露光装置、半導体デバイスの製造方法
JP2005252246A (ja) * 2004-02-04 2005-09-15 Nikon Corp 露光装置及び方法、位置制御方法、並びにデバイス製造方法
JP2006186380A (ja) * 2004-12-27 2006-07-13 Asml Netherlands Bv Z位置の誤差/ばらつき、及び基板テーブルの平坦度を決定するためのリソグラフィ装置及び方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016502070A (ja) * 2012-10-24 2016-01-21 エーエスエムエル ネザーランズ ビー.ブイ. オブジェクト位置決めシステム、リソグラフィ装置、およびデバイス製造方法
US9470988B2 (en) 2012-10-24 2016-10-18 Asml Netherlands B.V. Substrate positioning system, lithographic apparatus and device manufacturing method
JP7475185B2 (ja) 2020-04-10 2024-04-26 キヤノン株式会社 計測方法、インプリント装置及び物品の製造方法

Also Published As

Publication number Publication date
TW201007373A (en) 2010-02-16
US20090305176A1 (en) 2009-12-10
US7884918B2 (en) 2011-02-08
KR101124730B1 (ko) 2012-04-13
KR20090127832A (ko) 2009-12-14

Similar Documents

Publication Publication Date Title
JP2009295932A (ja) 露光装置及びデバイス製造方法
US20090004580A1 (en) Detection device, movable body apparatus, pattern formation apparatus and pattern formation method, exposure apparatus and exposure method, and device manufacturing method
JP2003203842A (ja) 位置決めステージ、露光装置、半導体デバイスの製造方法
KR101536014B1 (ko) 이동체 구동 방법 및 장치, 노광 방법 및 장치, 패턴 형성 방법 및 장치, 그리고 디바이스 제조 방법
US10678152B2 (en) Layout method, mark detection method, exposure method, measurement device, exposure apparatus, and device manufacturing method
JP2008071839A (ja) 表面位置検出方法、露光装置及びデバイスの製造方法
JP2008021748A (ja) 露光装置
JP2006279029A (ja) 露光方法及び装置
JP5057235B2 (ja) 較正方法、露光方法及びデバイス製造方法、並びに露光装置
JP2010074075A (ja) 補正情報作成方法、露光方法及び露光装置、並びにデバイス製造方法
JP2010192744A (ja) 露光装置、露光方法、及びデバイス製造方法
JP5234308B2 (ja) 露光方法及び露光装置、並びにデバイス製造方法
JP5126594B2 (ja) 較正方法、露光方法及びデバイス製造方法、並びに露光装置
US20140022377A1 (en) Mark detection method, exposure method and exposure apparatus, and device manufacturing method
US8120750B2 (en) Exposure apparatus and method of manufacturing device
JP2013045815A (ja) 露光方法及びデバイス製造方法
JP2011258922A (ja) 露光装置及び露光方法、並びにデバイス製造方法
JPH11307436A (ja) 投影露光装置及びレチクル及びレチクルの位置決め方法
JP2009252994A (ja) 露光方法及びデバイス製造方法、並びに露光装置
US11586116B2 (en) Measurement apparatus, exposure apparatus, and article manufacturing method
JP2009164304A (ja) 較正方法、移動体駆動方法及び装置、露光方法及び装置、パターン形成方法及び装置、並びにデバイス製造方法
JP2006032807A (ja) 露光装置及びデバイス製造方法
JP2004079585A (ja) 結像特性計測方法及び露光方法
JP2014143229A (ja) 計測方法及び計測システム、露光方法及び露光装置、並びにデバイス製造方法
JP5565613B2 (ja) 計測方法、露光方法及び露光装置、並びにデバイス製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120816

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121012

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130121