TW201007373A - Exposure apparatus and method of manufacturing device - Google Patents

Exposure apparatus and method of manufacturing device Download PDF

Info

Publication number
TW201007373A
TW201007373A TW098118211A TW98118211A TW201007373A TW 201007373 A TW201007373 A TW 201007373A TW 098118211 A TW098118211 A TW 098118211A TW 98118211 A TW98118211 A TW 98118211A TW 201007373 A TW201007373 A TW 201007373A
Authority
TW
Taiwan
Prior art keywords
measurement
substrate
exposure
area
measuring
Prior art date
Application number
TW098118211A
Other languages
English (en)
Inventor
Tadashi Hattori
Original Assignee
Canon Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Kk filed Critical Canon Kk
Publication of TW201007373A publication Critical patent/TW201007373A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Description

201007373 六、發明說明: 【發明所屬之技術領域】 本發明係有關於曝光設備及裝置製造方法。 【先前技術】 目前主流的半導體曝光設備是被稱爲步進器的步進& 重複方案之曝光設備。步進器以預定比例來縮小通過繪有 φ 圖案於其上之原版的光資訊,並且,當基板被定位成靜止 於預定位置的同時,使施加於基板上的感光劑受光曝照以 將原版的圖案轉移至基板上。步進器藉由逐步地驅動固持 基板之基板台而在整個基板表面上重複此系列的曝光操作 。基板、原版、及感光劑一般分別被稱爲晶圓、光罩、及 抗蝕劑。 相反於在晶圓保持靜止的同時,在晶圓上以拍攝來實 施全板曝光之步進器,被稱爲掃描器的步進及掃描之方案 Φ 曝光設備在同步地掃描晶圓及光罩的同時使更寬廣的區域 曝光。 一般而言,在使用曝光設備製造半導體時,需要爲相 同的晶圓實施上述系列的曝光操作多次。換言之,需要藉 由曝光以將後續圖案轉移至照攝區,而在照攝區中已形成 有先前的圖案,以使這些圖案準確地彼此重疊。爲了符合 此需求,必須預先測量一陣列的照攝區。測量標誌可以預 先被插入於每一個圖案中並且使由顯微鏡來予以測量。對 於此測量而言,一般實務上使用離軸顯微鏡(此後縮寫爲 -5- 201007373 OAS ),而離軸顯示鏡使用非曝照光。由於〇AS使用非 曝照光,所以’其可以實施測量而不會使抗蝕劑曝光’但 是必須被配置成與投射光學系統隔開以防止光進入其中( 請參見圖6 )。
掃描器通常實施即時聚焦,亦即,當在投射光學系統 下使晶圓曝光而驅動平台的同時’實施聚焦。焦點感測器 6A及6C係配置在真正的曝光位置(狹縫)的前方及後方 ,亦即,如圖6所示,在Y方向上與此曝光位置偏移的 Q 位置。當藉由從圖6的紙表面的下側掃描平台而使晶圓曝 光時,在曝光前藉由焦點感測器6C來測量晶圓表面的Z 位置,並且,在曝光位置抵達狹縫位置之前,驅動平台於 Z方向上。當從圖6的紙表面的上側掃描平台時,如同在 焦點感測器6C中一般地使用焦點感測器6A。焦點感測器 6B也被配置在狹縫位置以便確認晶圓表面是否聚焦於投 射光學系統的透鏡影像平面上。藉由使多個感測器在X 方向上並列,也可偵測狹縫中的晶圓表面的傾斜。依此方 © 式,正好在曝光之前測量焦點。這減輕在整個晶圓表面上 測量焦點的需求,維持高產量。 使用OAS的曝光序列如下。當晶圓被運送至曝光設 備時,使用OAS來測量晶圓上每一個取樣拍攝區中的給 定標誌。根據測量結果,計算晶圓的定位誤差(X、Y、 及旋轉分量)及歸因於晶圓的熱膨脹之誤差。在投射光學 系統的透鏡之下移動晶圓,並且,使每一個拍攝區曝光。 增加取樣拍攝區的數目可以增進重疊準確度,但會降低生 -6- 201007373 產量。此外,在一個拍攝區中形成多個測量標誌並且測量 它們,將允許與拍攝區形狀相容的曝光並進一步增進重疊 準確度,但再度降低生產量。 爲了藉由同時實施每一個取樣拍攝區的測量及曝光以 增進生產量及準確度,有一設計係使用二個晶圓台,使得 在一台上藉由OAS來實施測量並在另一台上實施曝光( 請參見圖7)。此設計一般被稱爲雙平台組態,而僅使用 • 一個平台的設計通常被稱爲單平台組態。 在雙平台組態中,當晶圓被載至一個晶圓台上時,首 先藉由OAS來測量拍攝區的X及Y位移。接著,焦點感 測器測量整個晶圓表面。此時,另一晶圓台出現在投射光 學系統的透鏡下。前一個晶圓台移動至投射光學系統的透 鏡下並固持受測量的晶圓,並且,在此平台上開始曝光。 此時,後一個平台被驅動至OAS位置,並且,在此平台 上,以相同方式,與曝光平行地實施晶圓載送、OAS測量 ❿ 、及焦點測量。只要OAS測量及焦點測量直到曝光爲止 才完成,則即使取樣拍攝區的數目增加,生產量也絕不會 降低。在此情況中,能夠同時地使生產量及準確度最佳化 〇 焦點感測器典型上在X方向上並列。這是要在Y方 向上掃描平台時,以儘可能寬的寬度來縮短測量時間。此 外,在透鏡位置及OAS位置處分別地設置雷射干涉儀( 稍後說明)。 需要以高準確度,在寬廣範圍上,將晶圓台驅動至二 -7- 201007373 維平面(χ-γ平面)中的任意位置。一個原因是隨著半導 體電路的微圖案化的進展,要求的準確度也愈來愈嚴格。 另一個原因是當晶圓被驅動至其交換位置時,並且,當在 曝光位置以外的位置處測量因曝光而被形成於晶圓上的標 誌時,實際上必須在非常寬廣的範圍上驅動晶圓台,以處 理例如晶圓尺寸增加等情形。 通常使用雷射干涉儀來偵測晶圓台的位置。藉由將此 雷射干涉儀配置在Χ-Υ平面中,以測量Χ-Υ平面中的晶 @ 圓台的位置。舉例而言,如圖1Α所示,用於X軸測量的 平面鏡2A(於下稱爲條鏡(bar mirror))可以在Y軸方 向上安裝於晶圓台1上。測量X軸上的晶圓台的位置之 雷射干涉儀3A-1以幾乎平行X軸的雷射光束照射條鏡2A ,以使參考光與條鏡2A反射的光彼此干涉,藉以偵測晶 圓台的相對驅動量。同樣的方式應用於 Y軸方向上的晶 圓台的位置測量。藉由設置二干涉儀以用於X軸及Y軸 測量之一或二者,也可以偵測繞著Z軸的晶圓台的旋轉角 ❹ 0 z。 根據由雷射干涉儀所取得的位置資訊,藉由在X-Y 平面中配置例如線性馬達等致動器(未顯示出),可以將 晶圓台驅動至預定位置。 當透鏡的NA增加以趕上電路的微圖案化之進展時, 用以將光罩影像轉移至晶圓的聚焦誤差寬容度變窄(聚焦 深度減少),所以在聚焦方向(Z方向)上所需的定位準 確度變得更嚴格。爲此,也必須高準確地測量及控制垂直 -8 - 201007373 於Χ-Υ平面之Z方向(聚焦方向)上平台的位置、以及 X-和Y-軸方向上平台的傾斜。X軸方向上的傾斜是繞著 Y軸的旋轉分量,且通常被稱爲0y。γ軸方向上的傾斜 是繞著X軸的旋轉分量,且通常被稱爲0X。在這些情況 下,已提出傾斜測量方案。在此方案中,二個X軸干涉 儀3 A-1及3 A-2在z方向上並列,以及,藉由同時使用 它們來實施位置測量’藉以從取得的測量資料之間的差異 # 來測量平台在X方向上的傾斜0y。同樣地,藉由在Z方 向上並列二Y軸干涉儀3B-1及3B-2,可以測量Y軸方向 上的傾斜0 X (請參見圖1 )。 也已提出使用雷射干涉儀來測量晶圓台在Z方向上的 位置之方法。圖2顯示用以偵測晶圓台在Z方向上的位置 之Z雷射干涉儀的配置實例。雷射光藉由安裝於平台上的 反射鏡4A而被垂直地向上反射。45°反射鏡4B係安裝於 作爲參考用的透鏡基座上,並且,水平地反射雷射光。 • 45°反射鏡4C係設置在接近投射光學系統的透鏡中心處, 並且,將雷射光垂直向下地投射。反射鏡4D係配置在平 台上,並且,垂直地反射雷射光,而雷射光接著循著其來 的路徑而回溯。反射鏡4A係設於平台上,並且,當平台 X位置移動時,被其所反射的雷射光移動於X方向上。因 此,在X方向上延伸的條鏡係準備做爲45°反射鏡4B和 4C。即使當平台在X方向上移動時,這也總是能將雷射 光施加至平台上的相同位置。當平台在Y方向上移動時
,因爲反射鏡4A係安裝於X平台上,所以,反射鏡4A 201007373 及被其所反射的雷射光二者的位置維持相同。此時,由於 雷射光的位置相對於平台的Y位置而移動,所以,在平 台上設置延伸於Y方向上的條鏡4D。即使當平台在Y方 向上移動時,這也總是能夠將雷射光施加至平台上的鏡表 面上。接著,即使平台在X-Y平面上移動時,這也總是 允許藉由雷射干涉儀的測量。 除了上述測量設備外(請參見圖3 ),藉由將延伸於 Y方向上的45°條鏡4E安裝於平台上,以及,將延伸於X 方向上的條鏡4F安裝於透鏡基座上,也可以測量平台與 透鏡基座表面之間的相對位置。 在圖2及3中所示的兩個配置中,在左側及右側(此 後’分別稱爲L及R側)應用類似的配置。只要l及R 側上的測量設備可以同時測量整個X-Y平面上的平台的Z 位置,即能夠使用取得的測量結果,更精準地測量平台的 最終Z位置。藉由測量這些測量結果之間的差異,也能夠 測量平台的傾斜。 當在X方向上驅動平台時,X方向上之平台位置的準 確度係受X方向上延伸的條鏡4B、4C、及4F的平坦度 所影響。同樣地,當在Y方向上驅動平台時,γ方向上之 平台位置的準確度係受Y方向上延伸的條鏡4D、及4E 的平坦度所影響。雖然如上所述般要求Z方向上定位的準 確度爲奈米等級’但是,技術上難以以奈米等級的準確度 來處理條鏡的整個表面’因而難以組裝如此所處理的鏡子 -10- 201007373 日本公開專利號2001-015 42 2提出一技術,藉由使用 建立於設備中的焦點感測器以預先測量歸因於X及Y方 向上平台驅動的Z誤差,以及,藉由考慮測量結果而決定 平台的目標位置,可以增進Z方向上定位的準確度。歸因 於X及Y方向上平台驅動的Z誤差是導因於條鏡的處理 準確度之誤差,在下述說明中也將被稱爲平台的移動平面 誤差。 0 在此技術中,使用焦點感測器來測量安裝於平台上的 晶圓的表面或是取代晶圓的反射平坦表面。此時,測量準 確度係受正常條件下的晶圓表面形狀所影響。但是,在此 技術中,使用多個焦點感測器來消除晶圓表面形狀的影響 ,並且,測量單獨在平台的移動平面中的誤差。參考圖5 ,藉由焦點感測器6A來測量晶圓上的某測量點P,而後 ’藉由驅動平台,而以另一焦點感測器6B來測量晶圓上 的某測量點P。無論晶圓形狀爲何,由於焦點感測器6A ® 和6 B測量相同的測量點P,所以,預期它們會輸出相同 的測量値。事實上,由於平台驅動而於測量値中包含Z方 向上的誤差而由這些感測器取得不同的測量値,亦即,測 量値受條鏡的處理準確度所影響。因此,藉由上述技術, 可以不受晶圓表面形狀的影響來測量條鏡的形狀。 如此所取得的Z條鏡的形狀被儲存於平台控處理器的 記憶體(未顯示出)中。當平台要被驅動於真實的曝光序 列中時,藉由從平台的目標位準來計算Z條鏡的校正値, 以校正Z條鏡在Z方向上的的位置。這能夠將平台定位 -11 - 201007373 在理想位置,而在此理想位置處,Z條鏡的形狀誤差被校 正。 使用焦點感測器之Z條鏡的測量(Z-X條鏡及Z-Y條 鏡的一般項)在允許使用例如晶圓而非使用特別機器之設 備本身的自我校正上是優良的。不僅Z條鏡被認爲會因時 間性的改變或當重置設備(當零搜尋(zero-seek))時的 震動而變形,而且X-Y條鏡也被認爲會因時間性的改變 或當重置設備(當零搜尋)時的震動而變形。由於條鏡要 求週期性的形狀測量以避免此情形,所以,在此技術中不 要求特別機器的優點是很重要的。 圖4顯示使用條鏡作爲Z位置及傾斜的參考之方法以 外的方法。在此技術中,允許在Z及傾斜方向上驅動的平 台係設置於X-Y平台上,X-Y平台使用平台表面板表面 作爲參考以使X-Y平面滑動,並且,線性編碼器測量X-Y平台(平台表面板表面參考)與Z/傾斜平台之間的距離 。前一技術與後一技術的不同之處在於測量標的是條鏡或 平台表面板表面,且此意指相同的邏輯應用至這些測量標 的兩者。雖然於下將舉例說明使用條鏡之Z位置/傾斜的 測量方法,但是,同樣的方法可以應用至測量平台表面板 表面。 如上所述,能夠使用焦點感測器及晶圓而精準地測量 Z條鏡的形狀。結果,驅動平台於X及γ方向上之前, 藉由預先校正Z方向上的誤差分量,可以增進Z方向上 的定位準確度,接著增進曝光設備的聚焦準確度。 -12- 201007373 然而,條鏡會由於各種因素而逐漸地或突然地變形。 舉例而言,當以螺絲固定條鏡時,條鏡會因螺絲箝制的應 力而傾向於逐漸地恢復其原始形狀。這舉例說明了條鏡在 相當長的時間期間逐漸變形的情況。也注意到,由於雷射 干涉儀是相對位置測量系統,所以,必須在啓動設備時, 即決定平台的原始位置。此時,平台的原始位置常常係藉 由與其緊鄰的機械來予以決定的。在此情況中,對接力( φ butting force )作用於平台上,且這可能會視有關的環境 而使條鏡變形。 同樣的情況也適用於使用黏著劑以將條鏡固定於位置 上的情況,亦即,條鏡可能會因接合表面的特性之時間性 的改變或對抗平台之機械對接力而變形。 換言之,要以奈米級的準確度,以機械的方式禁止條 鏡形狀改變是非常困難的。即使以日本公開專利號 2 001-0 1 5422中所述的方法,以高準確度地校正Z條鏡的 Φ 形狀,Z方向上的定位準確度也常常會逐漸地或突然地變 差。 爲了克服此問題,需要以上述方法週期性地測量Z條 鏡的形狀。不幸的是,即使在此情況中,曝光設備的生產 力也會因爲測量的需要而降低,且同時停止曝光程序。條 鏡的變形比率視個別的曝光設備的性能而改變,所以難以 知道適當的測量時機。假使以太長的間隔來實施測量,則 條鏡的變形量會變得太大,且這可能會產生有缺陷的產品 。相反地,假使太經常實施測量,則曝光設備的操作率降 -13- 201007373 低,會導致生產力變差。此外,在此二情況中,上述方法 無法處理條鏡已突然變形的狀況。 【發明內容】 本發明提供曝光設備,其能夠測量導因於基板平台的 驅動之投射光學系統的光軸方向上的誤差,但不用停止曝 光設備。 根據本發明,提供有曝光設備,其將光罩的圖案經由 @ 投射光學系統而投射至基板上,以將基板掃描曝光,曝光 設備包括:驅動機構,在垂直於投射光學系統的光軸方向 之第一方向上掃描固持基板的基板台,並且,在垂直於光 軸方向及第一方向的第二方向上,步進式地移動基板台; 第一測量裝置,當驅動機構在第一方向上掃描基板台時, 測量基板台在投射光學系統的光軸方向上的位置;第二測 量裝置,在基板上於第二方向上延伸的一個直線上多個測 量點處,測量基板在投射光學系統的光軸方向上的表面位 @ 置;以及,控制器,其中,控制器控制第二測量裝置,以 在基板台在第二方向上步進式地移動之前及之後,在多個 測量點中的不同測量點處,測量基板上的至少一相同區, 並且’根據第二測量裝置所取得的測量結果,計算歸因於 第一方向上基板台的驅動之第一測量裝置的測量誤差。 根據本發明,能夠提供曝光設備,其能夠測量導因於 基板平台的驅動之投射光學系統的光軸方向上的誤差,但 不用停止曝光設備。這能夠同時使曝光設備的準確度及生 -14- 201007373 產力最佳化。 從參考附圖之下述舉例說明的實施例 的進一步特點。 【實施方式】 於下,將個別地說明根據本發明之單 及雙平台曝光設備的實施例。 [雙平台曝光設備] 雙平台曝光設備包含曝光區、測量區 在曝光區及測量區中移動的基板平台。當 將基板定位的同時,曝光設備使在測量區 在曝光區中曝光。 如圖7所示,在曝光製程之前,雙平 量區中測量在OAS位置處投射光學系統 φ 基板(晶圓)的表面位置(高度位置)。 置(焦點位置)之第二測量裝置(焦點感 量點7-1至7-5使它們本身在X方向上延 對齊。X方向是垂直於投射光學系統的光 第一方向(Y方向)之第二方向’而第一 軸方向。如圖8所示,當以驅動機構( 方向上掃描固持基板的基板台時,使用焦 個測量點7-1至7-5,在一行的區域9A 。多個焦點測量點1 0本身典型上以一至 將清楚本發明 一平台曝光設備 、及複數個可以 根據測量結果而 中受測量的基板 台曝光設備在測 的光軸方向上的 測量基板高度位 測器)的多個測 伸的一個直線上 軸方向及垂直於 方向係垂直於光 未顯示出)在Y 點感測器,在多 中實施焦點測量 數毫米的間隔在 -15- 201007373 γ方向上對齊。接著,以驅動機構,在χ方向上步進式地 移動基板台。之後,當藉由驅動機構在X方向上掃描基 板台的同時,在相鄰行的區域9Β中類似地實施焦點測量 。藉由重複此操作,在開始曝光之前,預先在整個基板表 面上實施焦點測量。 此時,一行的焦點測量區常常與一個拍攝區的寬度或 多個拍攝區的總寬度一般大,以使增進產量。在此二情況 中,配置數目足夠測量焦點測量範圍之焦點感測器。 Φ 在根據本發明的實施例中,在如上所述的雙平台配置 中,設置六個測量點7-1至7-6,使得使用一測量點7-6 而以如圖1 0所示般測量原始測量區的外面。有此配置, 測量與一測量點7-6相鄰的焦點測量區。在本實施例中, 雖然使用一個測量點來測量測量區的外面,但是,也可以 使用二或更多測量點。 當測量圖10中的區域9Α時,使用最右方的測量點 7-6來測量相鄰區(圖1 1中所示的區域9Β )。令B ( Χ0 Q )爲當測量區域9Α時在最右方測量點7-6處所取得的測 量値。如上所述,由於以一至數毫米的間距來測量Υ方 向上的位置,所以,也測量 Υ方向上之多個B ( Χ0 )的 値。爲了方便起見,僅注意基板中心的測量値,亦即,在 此爲位置Υ = 0。如同稍後將說明般,即使當平均Υ位置上 的所有資料時,理論上仍然可以取得與使用單一 Υ位置 處的測量値相同的結果。期望測量準確度因平均效果而增 進。 -16- 201007373 當測量相鄰區9B時,使用最左測量點7-1,以測量 與當測量先前區域9 A時使用最右測量點7 _ 6測量的位置 相同的位置(圖1 1中的位置1 1 )。令A ( X1 )爲當測量 區域9 B時在測量點7 -1處所取得的測量値,b ( X 1 )爲 在測量點7-6處所取得的測量値。 同樣地,焦點感測器在六個測量點7 - 1至7 - 6的相鄰 區域中依序地實施焦點測量,以在整個基板表面上完成焦 # 點測量。 在日本專利公開號2001-015422等中揭示從此處所取 得的測量値來計算Z-X條鏡的形狀之方法,於下,將僅說 明其簡單的槪要。 在基板台於η個區域中在X方向上步進式地移動之 前及之後,藉由在彼此不同的最右測量點7-6及最左測量 點1,測量相同的基板而取得的測量結果如下。亦即, 這些測量結果包含當基板台位置X分別爲Χ0至Χη-1時 在最右測量點7-6處所取得的測量結果B ( ΧΟ )至β ( Χη-1 )、以及當基板台位置X分別爲X 1至Χη時在最左 測量點7- 1處所取得的測量結果A ( XI )至A ( Χη ): Β(Χ〇) Β(Χ1) Α(Χ1) Β(Χ2) Α(Χ2) Β(Χη-1) Α(Χη-1) Α(Χπ) -17- 201007373 然後,位置Χ〇至Χη滿足:
XI = XO + XF
Χ2 = XI + XF = Χ〇 + 2XF
Χη = Xn-1 + XF = χ〇 + nXF 其中,XF是焦點測量區的寬度,基板台步進式地橫 越此焦點測量區以測量相鄰區。 在基板上相同的位置1 1處取得測量結果B ( X 0 )及 A ( XI )。換言之,只要平台移動平面是理想平坦的,則 可預期測量結果B(X〇)及A(X〗)具有相同的値。更具 體而言’在這二個測量結果之間的差異代表平台移動平面 與理想平面的偏差量’亦即,Z-X條鏡的形狀影響度。這 些量被定義爲當基板平台從X0移至XI時的Z誤差ΔΖ、
.· · I ΔΖ(Χ0 -. XI) = A(X1) - Β(Χ〇) ΔΖ(Χ1 — Χ2) = Α(Χ2) - Β(Χ1) ΔΖ(Χη-1 - Χη) = Α(Χη) - Β(Χη-1) 上述每一個等式代表當以XF驅動基板台時的誤差。 爲了以Ζ誤差A Ζ代表Ζ-Χ條鏡的絕對値Ζ ( χ〇 )至ζ ( Χη ),僅需要將它們總合如下: -18- 201007373 Ζ(X0)= =0 Ζ(XI)= =Ζ(Χ0) + ΔΖ(Χ0 - ·» XI)= =0 + ΔΖ(Χ0 ^ XI) Ζ(X2): =Z(X1) + ΔΖ (XI - -Χ2): =0 + ΔΖ(Χ0 -» XI) + ΔΖ(XI ^ Χ2) Ζ (Χπ) — Ζ (Χπ*"1) + ΔΖ (Χπ~*1 —► Χπ) — ΔΖ (Χ0 —*· XI) + ..ΔΖ (Χη-1 — Χη)
注意,由於沒有供這些絕對値用的參考値,所以,當 基板台位於位置Χ0時的條鏡誤差分量被暫時地假定爲零 雖然此處爲了便於計算而使用Χ = Χ〇作爲決定Ζ-Χ條 鏡的形狀之標準,但是,任何位置可以作爲標準。舉例而 言’使用X = Xc作爲決定Ζ-Χ條鏡的形狀之標準,則如下 示般僅需將z ( X C )的値從ζ - X條鏡的所有位置中的絕對 値z ( X0)至Ζ ( Xn)扣除:
Z(X0) - Z(X0) - Z(Xc) Z(X1) — Z(X1) - Z(Xc) z (Xc) Z (Xc) - Z (Xc) = 0 Z(Xn) Z(Xn) - Z (Xc) 此處所取得的Z-X條鏡的形狀僅爲先前測量/校正之 後的改變量。換言之’除非Z-X條鏡並未改變,否則,上 -19- 201007373 述函數z(x)爲零或是小至約測量誤差的値。 臨界値可以預先設定,以及,假使z(x)的値超過 臨界値,再度決定精準地測量Z條鏡的時序。舉例而言, 日本專利公開號2001 -01 5422揭示此方法的細節。 當然,也能夠將關於此處所取得的Z(X)之資料加 至Z-X條鏡的校正函數。在此情況中,當不僅一個而是多 個感測器用來重複地測量相同點時,當在X方向上驅動 平台時,不僅能夠測量Z誤差’也能夠測量傾斜誤差。 @ 如上所述,藉由將測量點的數目設定爲大於原始焦點 測量區中測量點的數目,可以測量Z-X條鏡的形狀之改變 〇 Z-X條鏡與干涉儀一起當基板台被掃描時構成測量基 板台的高度位置之第一測量裝置。而且,控制器(未顯示 出)計算歸因於上述Z-X條鏡及干涉儀的測量誤差。 儘當X方向上的步進尺寸XF等於測量點7-1與7-6 之間的距離時,如上所述的測量方法才可被實施。在X ❹ 方向上的步進尺寸與X方向上焦點測量區的尺寸相同, 亦即,其爲拍攝區尺寸的整數倍(當在圖8中同時測量多 個拍攝區時二個拍攝區的總寬度)。因此,視拍攝區的尺 寸而定,在二個測量點所測量到的基板表面位置不會總是 彼此準確地相符。 當基板表面係足夠平坦時’並且,在二測量點所測量 到的基板表面位置是彼此相鄰時’雖然它們並未彼此準確 地相符,但是,可以預期它們僅具有小的誤差。換言之, -20- 201007373 只要測量點之間的間隔被設定得足夠小’亦即,在焦點測 量區中設定大量的測量點’則上述測量方法是令人滿意地 有用的。 當然,考慮例如確保有效成本等挑戰,無法總是設定 大量的測量點。但是’如圖8所示’即使在測量點僅設定 於實施一般焦點測量的區域中之配置中,仍然可以應用本 發明。亦即,如圖1 0所示,僅當Z-X條鏡要被測量時僅 • 需設定小的焦點測量區,而非將測量點的數目設定爲大於 原始焦點測量區中的測量點的數目。 圖12顯示此模式。注意,在二行中同時實施行原始 的焦點測量。焦點感測器的測量點係設定於二拍攝區的總 寬度之內。原始焦點測量區的寬度係設定爲等於二拍攝區 的總寬度。相反地,在使用焦點感測器以計算平台移動平 面的誤差的模式中,焦點測量區9C係設定成小於二拍攝 區的總寬度。然後,焦點感測器的最右測量點7-5位於焦 • 點感測器9C之外。接著,在X方向上步進式地驅動基板 台,並且,類似地測量焦點測量區9D。此時,使用最左 的測量點7-1來測量基板表面位置1 1,先前已在最右測 量點7-5處測量過基板表面位匱1 1。依此方式,藉由在 計算平台移動平面的測量誤差模式中將焦點測量區設定爲 小於拍攝區寬度的二倍。同樣地,當對每一行的拍攝區實 施焦點測量時,焦點測量區僅需設定爲小於拍攝區寬度。 在該情況中,由於焦點測量區會於X方向上縮減’ 所以,需要測量大量的區域來測量整個基板表面。換言之 -21 - 201007373 ,在該情況中,延長測量時間。 此外,當被曝照的基板進行多次曝光時(當底層圖案 出現時),需要消除導因於底層圖案的焦點感測器的誤差 分量。一般而言,需要從測量値中扣除所有拍攝區的共同 分量,亦即,整個基板表面上之所有拍攝區的平均値。假 使在X方向上的步進尺寸xf是拍攝區尺寸的整數倍時, 則焦點感測器測量每一個測量點處拍攝區中的相同位置, 並且,很容易藉由先前所述的方法,來消除整個基板上的 拍攝區共同分量。相反地,假使焦點測量區9C被設定而 小於二拍攝區的總寬度,則對於每一個焦點測量區來說, 在每一個測量點之拍攝區中的基板表面位置是彼此不同的 〇 爲了處理此情形,可以設定僅當未具有底層圖案的基 板被曝光時才實施此誤差消除操作等條件。假使沒有底層 圖案出現,則未發生導因於圖案的誤差,所以不會造成上 述問題。況且,因爲沒有底層圖案的基板並未要求精準地 測量底層圖案的拍攝區位置之對齊測量,所以,測量站具 有時間餘裕(margin )。因此,藉由僅對沒有底層圖案的 基板測量Z條鏡誤差,可以抑制生產量的降低。 因爲條鏡通常溫和地變形’所以’未必需要每一次都 測量Z方向上的誤差’且僅需以某時間間隔來測量測量Z 方向上的誤差。因此,僅需對沒有底層圖案的基板測量Z 方向上的誤差。舉例而言,可以在每當處理預定數目的基 板時實施測量。可以在每當預定時間消逝時而非每當處理 -22- 201007373 預定數目的基板時,實施測量。正好在曝光設備電源開啓 後,條鏡有可能意外變形,所以希望在電源開啓時測量到 Z方向上的誤差。 當要測量Z-X條誤差時將具有底層圖案之基板上的焦 點測量區設定爲小時,可以對每一個焦點測量區消除拍攝 區分量而非消除整個基板表面上的拍攝區共同分量。亦即 ,消除圖1 2中焦點測量區9 C中的拍攝區分量。焦點感測 Φ 器通常對Y方向上排成陣列的拍攝區測量每一個測量點 處相同的基板表面位置,每當此焦點測量處理實施時,僅 有拍攝區之共同分量,亦即在 Y方向上排成陣列的拍攝 區組之共同分量需被消除。由於區域9C包含二行拍攝區 ,所以,實施相同的處理二次。同樣地’在區域9D中, 消除在Y方向上排成陣列的拍攝區共同的分量。由於即 使對於部份受測的拍攝區,仍然必須實施此消除處理,所 以,在區域9D中實施三次。藉由在整個基板表面上重複 Φ 此處理,可以消除導因於底層圖案的誤差。結果,即使當 設定數目大於原先焦點測量區中的測量點數目之測量點, 或者X步進尺寸XF不是X方向上的拍攝區寬度的整數倍 ,仍然可以在消除導因於底層圖案的誤差時計算Z條鏡的 誤差。但是,較佳的是如圖1〇所示般計算整個基板表面 共同的分量,以增進準確度。 上述已說明Z-X條鏡的誤差測量方法。z_x條鏡誤差 是在X方向上驅動基板台時的Z誤差。雖然此處在每一 個焦點測量區中單獨注意γ = 〇 ’但是’測量結果B ( X 0 ) -23- 201007373 及A ( X1 )之間的差在所有γ位置是相同的。基於此理 由,即使將所有在Υ位置處所取得的測量結果平均,仍 然能夠類似地測量Ζ-Χ條鏡的形狀以及降低導因於平均效 果的測量誤差。 已於上述舉例說明Ζ - X條鏡。但是,如圖1 3所示, 藉由將Υ方向上偏移測量點7而取得的測量點8設定成 在Υ方向上驅動基板台時它們彼此相符,可以測量Ζ_γ 條鏡的誤差。 @ 由於在Υ方向上的測量間距可以自由地設定,所以 ,容易將Υ方向上偏移的測量點設定成它們總是彼此相 符。假使藉由在γ方向上偏移某感測器位置而取得的感 測器位置與其間隔YL,則整個基板表面上的焦點測量的 間距僅需等於YL或是YL的分數。這能夠使在Υ方向上 偏移的多個測量點處所測量到的基板位置與不同測量點處 所測量的對應的基板表面位置相符。藉由與X方向上相 同的原理,實施用以計算Ζ-Υ條鏡的形狀之後續處理,且 @ 不對其作說明。 [單平台曝光設備] 接著,將說明用於單平台曝光設備中正好在曝光之前 實施焦點測量的方法。 正好在曝光之前實施焦點測量的方法在晶圓保持不動 的曝光設計與掃描曝光設計之間不同。在此’將說明目前 的主流的曝光方案。 -24- 201007373 圖6顯示掃描曝光設計的平台配置與焦點感測器的配 置。在通過曝光狹縫時,曝照光形成長方形曝光形狀。基 板台被驅動以移動基板上的長方形曝光範圍,並且,實施 單次拍(one shot )攝曝光。因此,掃描曝光方案的特點 爲單次拍攝曝光區係相對爲大的。 曝光狹縫的縱向被定義爲第一方向(X方向),且其 寬度方向被定義爲第二方向(Y方向)。在Y方向上以曝 φ 光掃描基板台,並且,基板台在X方向上步進式地移動 以使相鄰於目前拍攝區的拍攝曝光。 焦點感測器6A及6C典型上係配置於曝光狹縫的前 方數毫米,以及,在曝光狹縫進入曝光區之前實施焦點測 量。藉由在Z方向上驅動基板台直到曝光狹縫進入曝光區 爲止,以最佳焦點來實施曝光。將多個焦點感測器的測量 點設定於X及Y方向上也允許測量曝光狹縫中的傾斜。 爲了方便起見,圖6顯示焦點感測器在單獨X方向上並 Ο 列的實施例。 於下,將說明此平台配置中的具體方案。 傳統的焦點感測器測量小於最大曝光寬度(X方向上 的最大寬度)的區域中基板平台的Z方向上之傾斜及位置 。但是,在本實施例中,如圖9所示,測量點也被設置在 最大曝光區之外。 將參考圖14來說明此平台配置中的焦點測量方法。 第一曝光區12-1係當由基板台掃描時曝光。在此情況中 ,在曝光狹縫抵達曝光區之前,在測量點6A-1至6A-3測 -25- 201007373 量基板表面高度。當曝光狹縫抵達曝光區時,完成Z方向 及傾斜方向上之基板台的驅動。此時,爲了確認平台是否 已被調整,使用測量狹縫位置的焦點感測器,在測量點 6B-1至6B-3處實施測量。至此爲止所述的操作與—般的 曝光序列中相同。但是,在本實施例中,曝光區12-2與 第一曝光區12-1相鄰且落在其外部,在特別設定用於有 關操作之最右方測量點6B-4處測量曝光區12-2。在完成 第一曝光區12-1的曝光之後,藉由在X方向上步進式地 移動基板台而使與曝光區12-1相鄰的曝光區12-2曝光。 在此情況中,在最左方的測量點6B-1處再度測量基板表 面位置13’在第一曝光區12-1的曝光時,已經在最右方 的測量點6B-4測量過基板表面位置1 3。 後續的焦點測量處理與雙平台配置相同。亦即,當在 不同測量點測量基板上的相同位置時,期望在這些測量點 取得相同的測量値。事實上,由於在測量値中因X方向 上基板台的驅動而產生Z方向上誤差,所以,在這些測量 點取得不同的測量値。此誤差的造成是因爲Z條鏡形狀的 時間性改變,並且,這意指Z條鏡需要調整。因此,藉由 在整個基板表面上實施此方法,可以計算基板台驅動範圍 中的條鏡的形狀。 在雙平台組態中,在固定狀態中,在相鄰區域中測量 基板Z方向上的傾斜及位置。相反地,在單平台組態中, 亦即,在即時聚焦時,對每一個曝光區,在Z及傾斜方向 上驅動基板台。這需要不僅考慮焦點感測器取得的測量値 -26- 201007373 ,也需考慮基板台的驅動量。爲了簡明起見,將考慮在單 獨Z方向上,驅動基板台的情況。如同雙平台組態中一般 ,在測量點6B-4處所取得的測量結果B ( X )、在測量點 6B-1處所取得的測量結果A(X)、及在平台X位置處之 基板台的Z驅動量s(x)包含: Β(Χ0) S(X〇) B(X1) A(X1) S(X1) B(X2) A(X2) S (X2) B(Xn-l) A(Xn-l) s (Xn-1) A(Xn) S (Xn)
藉由考量基板台的驅動量,Z誤差ΔΖ係給定如下: ΔΖ(Χ0 XI) = {A(X1) - S(X1)} - {B(X〇) - S(X0)} ΔΖ(Χ1 ^ X2) = {A(X2) - S(X2)} - {B(X1) - S(X1)} ΔΖ (Xn-1 - Xn) = {A(Xn) - S (Xn" - {B (Xn-1)-S (Xn-1) } 在單平台配置中,以完全與雙平台配置相同的方式, 在整個基板表面之上,計算Z條鏡的形狀。 當在傾斜方向上驅動基板台時,根據與旋轉中心的距 離及傾斜量’藉由使用Z誤差來代表焦點測量位置的Z 量’以上述方式計算Z條鏡的形狀。 令Zs(X)爲平台位置χ處之z方向上平台的驅動 -27- 201007373 量’ Tilt-X (χ)爲χ方向上之平台的傾斜,Xr〇t ( χ)爲 從旋轉中心至焦點測量位置的距離,Tilt_Y ( X)爲γ方 向上之平台的傾斜,γ Γ 〇 t ( x )爲從旋轉中心至焦點測量 位置的距離’它們滿足: s(X)=Zs(X)+Tilt-X(X).Xrot(X)+Tilt-Y(X)·Yrot(X) 上述等式僅需被應用至每一個X位置(χ〇、XI、… @ ' Χη )。 雖然從焦點測量位置至旋轉中心的距離在每一個χ 位置是可變的,但是,其常常視平台控制方案而具有固定 値。而且’根據旋轉角度的正方向(加號方向)來決定上 述等式的項是否具有正號或負號,亦即,它們是否彼此相 加或相減。此處,將不詳述此抑制。 雖然爲了方便起見,於上述中說明用於單獨位置Υ = 0 的測量處理,但是,多個測量位置典型上在一曝光區中以 ® 數毫米的間距於Υ方向上將它們本身對齊。將所有這些 測量位置處所取得的測量結果平均。由於曝光區地使它們 本身在Υ方向上對齊,所以,進一步將這些區域中所取 得的測量結果平均,有可能降低測量誤差的影響。 而且,雖然以設定在基板中心的焦點感測器的測量點 6Β-1及6Β-4相符爲前提來說明上述等式,但是,即使使 預看(look ahead)感測器的測量點6Α-1及6Α-4或6C-1 及6C-4相符,仍然可以取得相同的效果。因此,可以使 -28- 201007373 用多個測量點的組合之其中一測量點處所取得的測量 ’或是’可以使用測量點的所有組合處所取得的測量 的平均。 圖1 4顯示設置於一側上的焦點感測器的測量點 目比另一側上的數目大1的例子。當設置於曝光區的 上的測量點增加1或更多數目時,不僅可以測量導因 驅動的Z方向上之誤差,也可以測量傾斜誤差。但是 φ 著要被設置的測量點的數目增加,相關的成本自然會 〇 當上述等式保持時,在測量點6B-1及6B-4測量 測量到的基板表面位置彼此完美地相符,亦即,測 6B-1與6B-4之間的間隔與曝光區(拍攝區)的寬度 (X方向上的步進驅動量)。但是,實際上,在一般 中曝光區的寬度會視要由曝光轉移的圖案而改變。考 事實,即使每當在焦點感測器的測量點6B-1及6B-4 Φ 量基板上非常接近的位置時,即使無法測量基板上正 同的位置,仍可以實施相同的處理。特別是當基板沒 過曝光的底層圖案且因而具有足夠高的平坦度時,測 令人滿意地可行的。 圖14顯示三個測量點6B-1至6B-3係設置於曝 之內、以及一測量點6B-4係設置在曝光區之外的狀 藉由設置更大數目的測量點,這些測量點之間具有更 間隔,則在每一個拍攝區寬度,可以在二或更多測量 測量基板上的相同位置(或非常接近的位置)。 結果 結果 的數 二側 於X ,隨 增加 點所 量點 相同 曝光 慮此 處測 好相 有經 量是 光區 態。 窄的 點, -29- 201007373 如同先前所述般,在一般曝光序列中的拍攝區寬度會 視要轉移的圖案而改變,並且,當然,曝光不用總是以最 大的曝光寬度來予以實施。當曝光區的寬度(在X方向 上)小於焦點感測器的寬度時,也可以在單平台配置中, 以即時聚焦來計算Z方向上的誤差分量。舉例而言,當曝 光設備電源開啓時、當決定基板台的原始位置時、或對批 次中的第一基板進行曝光處理時,計算Z方向誤差分量。 在此情況中,無需設置超過最大曝光寬度的範圍之測量點 Q 。亦即,根據本發明的焦點測量方法由於並未要求如圖 14中所示之測量點6B-4所舉例說明的測量點,所以,其 是有成本效益的。此焦點測量法對於幾乎所有曝光設備都 是適合的。但是,根據本發明的焦點測量方法並非可以應 用至所有型式的拍攝區,且嚴格受限於寬度小於焦點感測 器之拍攝區的曝光。後續的焦點處理與上述相同,且不對 其做說明。 以上述方式,調整X方向上的Z條鏡誤差。由於預 0 看感測器一般並列於Y方向上,所以,無需對Y方向上 的焦點測量新設置測量點。藉由在一般曝光序列中,在不 同測量點測量相同位置,計算Z方向上的誤差分量。亦即 ,只要由測量點6A-1至6A-3處的焦點感測器預先測量的 基板上的位置在Y方向上被掃描且由測量點6B-1至6B-3 處的焦點感測器測量被掃描的位置,則上述方法是可以使 用的。 與掃描曝光設備的X方向相同的方式可以被應用至 -30- 201007373 當晶圓保持靜止時實施曝光的情況。亦即,僅需將測量點 設定在比最大曝光寬度還寬之X及γ方向上的範圍中, 或是將比測量點設置的範圍還小的X及γ方向上的區域 曝光。 以上述方計算Z條鏡的形狀之後的處理與雙平台配置 中的處理正好相同。在Z(X)的値超過預先設定的臨界 値之後,可以再度測量z-x條鏡。此處所取得的關於z ( φ X )的資料可以被加至z-x條鏡的校正函數。 測量時機可以在每一個拍攝之前,以及,本發明中所 提出的測量方法可以用於只有不具底層圖案的基板。可以 在每當處理基板預定次數時,或是每當預設時間消逝時, 實施根據本發明的測量方法。或者,可以總是正好在電源 開啓之後,實施根據本發明的測量方法。 [曝光設備的說明] ® 於下,將說明應用本發明之舉例說明的單平台曝光設 備。如圖15所示’曝光設備包含照明裝置101、安裝光 罩之光罩台102、投射光學系統103、及固持基板的基板 台1。如上所述,基板台1係在藉由驅動機構(未顯示出 )來予以操縱的同時而在Y方向上移動,並且在X方向 上步進式地移動。曝光設備將形成於光罩上的電路圖案投 射至基板以將基板掃描曝光。 照明裝置101照明形成有電路圖案於其上之光罩,並 且’包含光源單元及照明光學系統。舉例而言,光源單元 -31 - 201007373 使用雷射光作爲光源。舉例而言,雷射可爲具有約193 nm的波長之ArF準分子雷射、具有約248 nm的波長之 KrF準分子雷射、或者具有約153 nm的波長之F2準分子 雷射。但是’雷射的型式並不限於準分子雷射,舉例而言 ’可爲YAG雷射,且雷射的數目並未限定。當使用雷射 作爲光源時’可以使用用以將來自雷射光源的準直光束整 型成所需的光束形狀之光學系統、以及用於將同調雷射光 束轉換成非同調雷射光束之光學系統。此外,可以用於光 @ 源單元的光源不限於雷射,可以使用一或多個水銀燈或氙 燈。照明光學系統將光罩照明以及包含例如透鏡、鏡、光 積分器、及光闌。 投射光學系統103可爲例如包含多個單獨的透鏡元件 之光學系統、包含多個透鏡元件及至少一凹面鏡的光學系 統、及包含多個透鏡元件和例如機諾虹(kinoform )等至 少一繞射光學元件的光學系統、或是僅包含多個鏡的光學 系統。 ⑬ 舉例而言,光罩台1 02及基板台1可以藉由線性馬達 來予以移動。平台102及1同步地移動。提供致動器(驅 動機構,未顯示出)給基板台1及光罩台102以使光罩圖 案對齊於基板上。 接著,將說明使用上述曝光設備以製造例如半導體積 體電路及液晶顯示裝置等裝置之舉例說明的製造方法。 以下述步驟,製造裝置:曝光步驟,使用上述曝光設 備,使基板曝光;顯影步驟,使曝光步驟中所曝光的基板 -32- 201007373 顯影;以及,處理顯影步驟中所顯影的基板之其它習知步 驟。其它習知步驟包含例如蝕刻、光阻移除、晶粒切割、 打線、及封裝步驟。 雖然已參考舉例說明的實施例來說明本發明,但是, 需瞭解本發明不限於所揭示的舉例說明的實施例。後附申 請專利範圍的範圍係依據最廣的解釋以涵蓋所有此類修改 及均等結構和功能。 【圖式簡單說明】 圖1顯示基板台配置,其允許與基板台的傾斜相關的 測量; 圖2顯示z雷射干涉儀的配置實施例: 圖3顯示Z雷射干涉儀的配置的另一實施例; 圖4顯示參考平台安裝於平台表面板之配置的實施例 » 圖5顯示以多個焦點感測器測量視平台位置而定的z 誤差; 圖6顯示單一平台配置中焦點感測器及0AS的配置 > 圖7顯示雙平台配置中焦點感測器及〇as的配置; 圖8顯示雙平台配置中預先測量基板整體表面之狀態 » 圖9顯示單一平台配置中焦點感測器配置於焦點測量 區外面的配置; -33- 201007373 圖1 〇顯示雙平台配置中焦點感測器配置於焦點測量 區外面的配置; 圖11顯示雙平台配置中測量相鄰的焦點測量區的狀 態; 圖12顯示雙平台配置中焦點感測器於X方向上並列 的配置; 圖13顯示單一平台配置中測量相鄰的焦點測量區的 狀態;
圖14用於說明單一平台曝光設備;及 圖15顯示曝光設備的一實施例。 【主要元件符號說明】 1 :晶圓台
2 A :條鏡 3Α·1 :干涉儀 3A-2 :干涉儀 3B-1 :干涉儀 3B-2 :干涉儀 4A :反射鏡 4B :反射鏡 4C :反射鏡 4D :條鏡 4E :條鏡 4 F :條鏡 -34- 201007373 6A :焦點感測器 6A-1 :測量點 6A-2 :測量點 6A-3 :測量點 6B :焦點感測器 6B-1 :測量點 6B-2 :測量點 φ 6 B - 3 :測量點 6 B - 4 :測量點 6C :焦點感測器 7 -1 :測量點 7-2 :測量點 7 - 3 :測量點 7-4 :測量點 7-5 :測量點 • 7-6 :測量點 9 A ·區域 9B :區域 9C :焦點測量區 9D :焦點測量區 1 〇 :焦點測量點 1 1 :位置 12-1 :第一曝光區 12-2 :第一曝光區 -35- 201007373 1 3 :基板表面位置 1 〇 1 :照明裝置 102 :光罩台 1 0 3 :投射光學系統
-36-

Claims (1)

  1. 201007373 七、申請專利範圍: 1. 一種曝光設備,將光罩的圖案經由投射光學系統 而投射至基板上,以使該基板掃描曝光,該曝光設備包括 驅動機構,在垂直於該投射光學系統的光軸方向之第 一方向上掃描固持該基板的基板台,並且,在垂直於該光 軸方向及該第一方向的第二方向上,步進式地移動該基板 台 第一測量裝置,當該驅動機構在該第一方向上掃描該 基板台時,在該投射光學系統的該光軸方向上,測量該基 板台的位置; 第二測量裝置,在該基板上於該第二方向上延伸的一 個直線上的多個測量點處,在該投射光學系統的該光軸方 向上,測量該基板的表面位置;以及 控制器, • 其中,該控制器控制該第二測量裝置,以在該基板台 於該第二方向上步進式地移動之前及之後,在該多個測量 點中的不同測量點處,測量該基板上的至少一相同區,並 且,根據由該第二測量裝置所取得的該測量結果,計算歸 因於該第二方向上該基板台的驅動之該第一測量裝置的測 量誤差。 2. 如申請專利範圍第1項之設備,其中,該控制器 降低基板台在該第二方向上步進式地移動經過之寬度,使 得在計算該第一測量裝置的測量誤差的模式中,該第二測 -37- 201007373 量裝置可以測量不同測量點處之該基板上的至少一相同區 〇 3. 如申請專利範圍第1項之設備,其中,該控制器 使用該第一測量裝置之該計算出的測量誤差,校正由該第 一測量裝置所取得的測量結果。 4. 如申請專利範圍第1項之設備,其中, 該第一測量裝置包含條鏡及干涉儀,以及 該控制器根據該第一測量裝置之該計算出的測量誤差 @ ,決定測量該條鏡的時機。 5. 如申請專利範圍第1項之設備,其中,當該曝光 設備電源開啓時、當決定該基板台的原始位置時、或當要 進行曝光處理的批次中的第一基板被載入該基板台上時, 該第二測量裝置在該基板上之至少一相同區中不同的測量 點處實施測量。 6. 如申請專利範圍第1項之設備,其中,每當處理 預定數目的基板時或每當經過預定的時間時,該第二測量 ❹ 裝置在該基板上之至少一相同區中不同的測量點處實施測 量。 7. 如申請專利範圍第1項之設備,其中,對沒有底 層圖案的基板,該第二測量裝置在該基板上之至少一相同 區中不同的測量點處實施測量。 8 .如申請專利範圍第1項之設備,其中, 該曝光設備包含曝光區、測量區、及多個基板台,在 該曝光區中,經由該投射光學系統而使該基板曝光,在該 -38- 201007373 測量區中,測量該基板,該多個基板台可以在該曝光區與 該測量區之間移動,並且,在根據該測量區中所取得的該 測量結果而將該基板定位的同時,使在該測量區中經過測 量的該基板在該曝光區中曝光,及 該第一測量裝置及該第二測量裝置係位於該測量區中 〇 9. 如申請專利範圍第1項之設備,其中,在根據由 〇 該第一測量裝置所取得的該測量結果而將該基板定位的同 時,該曝光設備使該基板曝光。 10. —種裝置製造方法,該方法包括下述步驟: 使用如申請專利範圍第1至9項中任一項之曝光設備 ,將基板曝光;以及 使該經過曝光的基板顯影;以及 處理該經過顯影的基板以製造該裝置。 -39-
TW098118211A 2008-06-09 2009-06-02 Exposure apparatus and method of manufacturing device TW201007373A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008150836A JP2009295932A (ja) 2008-06-09 2008-06-09 露光装置及びデバイス製造方法

Publications (1)

Publication Number Publication Date
TW201007373A true TW201007373A (en) 2010-02-16

Family

ID=41400630

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098118211A TW201007373A (en) 2008-06-09 2009-06-02 Exposure apparatus and method of manufacturing device

Country Status (4)

Country Link
US (1) US7884918B2 (zh)
JP (1) JP2009295932A (zh)
KR (1) KR101124730B1 (zh)
TW (1) TW201007373A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103309167A (zh) * 2012-03-09 2013-09-18 上海微电子装备有限公司 运动台定位精度的测量系统及测量方法

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
NL2009197A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv System for detection motion, lithographic apparatus and device manufacturing method.
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
JP6066610B2 (ja) * 2012-07-31 2017-01-25 キヤノン株式会社 露光方法、露光装置及びデバイス製造方法
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6082471B2 (ja) * 2012-10-24 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. オブジェクト位置決めシステム、リソグラフィ装置、およびデバイス製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017021299A1 (en) * 2015-08-04 2017-02-09 Asml Netherlands B.V. Position measurement system, interferometer and lithographic apparatus
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110823922A (zh) * 2018-08-10 2020-02-21 鸿富锦精密电子(天津)有限公司 外观检测装置
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
JP7475185B2 (ja) 2020-04-10 2024-04-26 キヤノン株式会社 計測方法、インプリント装置及び物品の製造方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115398133A (zh) * 2020-06-30 2022-11-25 Ev 集团 E·索尔纳有限责任公司 用于对准基板的装置和方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3282751B2 (ja) 1993-07-14 2002-05-20 株式会社ニコン 走査型露光装置、及び該装置を用いる素子製造方法
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH11168050A (ja) 1997-12-04 1999-06-22 Nikon Corp 露光方法及び装置
JP4261689B2 (ja) 1999-07-01 2009-04-30 キヤノン株式会社 露光装置、当該露光装置に対して用いられる方法、及び当該露光装置を用いたデバイスの製造方法
SG103303A1 (en) * 2000-07-07 2004-04-29 Nikon Corp Exposure apparatus, surface position adjustment unit, mask, and device manufacturing method
JP3890233B2 (ja) * 2002-01-07 2007-03-07 キヤノン株式会社 位置決めステージ装置、露光装置及び半導体デバイスの製造方法
JP2005252246A (ja) * 2004-02-04 2005-09-15 Nikon Corp 露光装置及び方法、位置制御方法、並びにデバイス製造方法
US20060139595A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and method for determining Z position errors/variations and substrate table flatness
JP4315455B2 (ja) * 2006-04-04 2009-08-19 キヤノン株式会社 露光装置及びデバイス製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103309167A (zh) * 2012-03-09 2013-09-18 上海微电子装备有限公司 运动台定位精度的测量系统及测量方法
CN103309167B (zh) * 2012-03-09 2015-06-17 上海微电子装备有限公司 运动台定位精度的测量系统及测量方法

Also Published As

Publication number Publication date
JP2009295932A (ja) 2009-12-17
US20090305176A1 (en) 2009-12-10
US7884918B2 (en) 2011-02-08
KR20090127832A (ko) 2009-12-14
KR101124730B1 (ko) 2012-04-13

Similar Documents

Publication Publication Date Title
TW201007373A (en) Exposure apparatus and method of manufacturing device
US7701553B2 (en) Surface level detection method, exposure apparatus, and device manufacturing method
US8625072B2 (en) Exposure apparatus, exposure method, and method of manufacturing device
JP2000164504A (ja) ステージ装置、露光装置、及び前記ステージ装置を用いた位置決め方法
US9639008B2 (en) Lithography apparatus, and article manufacturing method
JP4434372B2 (ja) 投影露光装置およびデバイス製造方法
JP2005175400A (ja) 露光装置
JP2007250947A (ja) 露光装置および像面検出方法
JP2008021748A (ja) 露光装置
US7990519B2 (en) Exposure apparatus and device manufacturing method
US7852458B2 (en) Exposure apparatus
JP2010087310A (ja) 露光装置およびデバイス製造方法
JP6727554B2 (ja) 露光装置、フラットパネルディスプレイの製造方法、デバイス製造方法、及び露光方法
JP2005294404A (ja) 測定装置、測定方法及びそれを有する露光装置及び露光方法、それを利用したデバイス製造方法
JP4174324B2 (ja) 露光方法及び装置
JP2010192744A (ja) 露光装置、露光方法、及びデバイス製造方法
JPH10284393A (ja) 露光装置およびデバイス製造方法
US6750950B1 (en) Scanning exposure method, scanning exposure apparatus and making method for producing the same, and device and method for manufacturing the same
JP2010283157A (ja) 露光装置及びデバイス製造方法
JP2009302154A (ja) 露光装置及びデバイス製造方法
JP5699419B2 (ja) 露光方法及び露光装置並びにデバイス製造方法
JP5414288B2 (ja) 露光方法及び装置、並びにデバイス製造方法
JP2003060000A (ja) 基板搬送装置及び露光装置、並びにデバイス製造方法
US20100277710A1 (en) Exposure apparatus
JP2023149378A (ja) 解析システム及び露光方法