KR20090127832A - 노광 장치 및 디바이스 제조 방법 - Google Patents

노광 장치 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR20090127832A
KR20090127832A KR1020090050948A KR20090050948A KR20090127832A KR 20090127832 A KR20090127832 A KR 20090127832A KR 1020090050948 A KR1020090050948 A KR 1020090050948A KR 20090050948 A KR20090050948 A KR 20090050948A KR 20090127832 A KR20090127832 A KR 20090127832A
Authority
KR
South Korea
Prior art keywords
substrate
measurement
stage
exposure
measuring
Prior art date
Application number
KR1020090050948A
Other languages
English (en)
Other versions
KR101124730B1 (ko
Inventor
다다시 하또리
Original Assignee
캐논 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 가부시끼가이샤 filed Critical 캐논 가부시끼가이샤
Publication of KR20090127832A publication Critical patent/KR20090127832A/ko
Application granted granted Critical
Publication of KR101124730B1 publication Critical patent/KR101124730B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Abstract

노광 장치는 제1 계측기, 제2 계측기, 및 제어기를 포함한다. 상기 제1 계측기는 기판 스테이지가 제1 방향으로 스캔될 때 광축 방향에 있어서의 상기 기판 스테이지의 위치를 계측한다. 상기 제2 계측기는 기판 상의 제2 방향으로 연장하는 하나의 직선 상의 복수의 계측점에서 상기 광축 방향에 있어서의 기판의 표면 위치를 계측한다. 상기 제어기는 상기 기판 스테이지가 상기 제2 방향으로 스텝 이동하기 전과 후의 쌍방에 있어서 상기 기판 상의 적어도 하나의 동일한 영역을 상기 복수의 계측점 중의 서로 다른 계측점들에서 계측하도록 상기 제2 계측기를 제어하고, 상기 제2 계측기에 의해 얻어진 계측 결과에 기초하여 상기 기판 스테이지의 상기 제2 방향으로의 구동에 기인하는 상기 제1 계측기의 계측 오차를 산출한다.
노광 장치, 기판 스테이지, 투영 광학계, 싱글 스테이지, 트윈 스테이지

Description

노광 장치 및 디바이스 제조 방법{EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE}
본 발명은, 노광 장치 및 디바이스 제조 방법에 관한 것이다.
현재 주류인 반도체 노광 장치는 스테퍼(stepper)라고 불리는 스텝 앤드 리피트(step & repeat) 방식의 노광 장치이다. 스테퍼는, 기판을 위치 결정하여 미리 정해진 위치에서 정지해 있도록 한 상태에서, 패턴이 묘화된 원판을 통과한 광의 정보를 미리 정해진 비율로 축소하고, 기판 상에 도포된 감광제를 노광시켜서 원판의 패턴을 기판에 전사한다. 스테퍼는, 기판을 유지하는 기판 스테이지를 축차적으로 구동시킴으로써, 이 일련의 노광 동작들을 기판 전체면에 걸쳐 반복한다. 기판, 원판, 및 감광제는, 통상적으로, 각각, 웨이퍼, 레티클, 및 레지스트라고 칭해진다.
웨이퍼가 정지해 있는 동안 웨이퍼 상의 샷들에서 풀-플레이트(full-plate) 노광을 행하는 스테퍼와 대비하여, 스캐너(scanner)라 불리는 스텝 앤드 스캔 방식의 노광 장치는, 웨이퍼 및 레티클을 동기하여 스캔하면서 보다 넓은 영역을 노광한다.
통상적으로, 노광 장치를 이용한 반도체의 제조에서는, 같은 웨이퍼에 대하여 상기 일련의 노광 동작들을 여러 번 행할 필요가 있다. 즉, 이전의 패턴이 이미 형성된 샷에 대하여, 후속 패턴을 노광에 의해 전사하여, 이들 패턴들이 정확히 서로에 겹치도록 할 필요가 있다. 이러한 필요를 만족시키기 위해서는, 샷들의 배열이 사전에 계측되어야 한다. 사전에 계측 마크가 각 패턴에 삽입되고, 스코프를 사용해서 계측될 수 있다. 이 계측을 위해 비노광광을 이용한 오프액시스 스코프(off-axis scope)(이하, OAS로 약기함)를 이용하는 것이 통상의 실무이다. OAS는 비노광광을 이용하기 때문에, 레지스트를 노광시키지 않고 계측을 행할 수 있지만, 투영 광학계에 광이 들어가지 못하게 하기 위하여 투영 광학계와는 떨어져서 배치되어야 한다(도 6 참조).
통상적으로, 스캐너는, 투영 광학계 아래에서 웨이퍼를 노광할 때, 리얼타임 포커싱(real-time focusing)을 행한다. 즉, 스테이지를 구동하면서 포커싱을 행한다. 도 6에 도시된 바와 같이, 실제의 노광 위치(슬릿)의 전후, 즉, 이 노광 위치로부터 Y 방향으로 시프트된 위치들에 포커스 센서(6A 및 6C)을 배치한다. 스테이지를 도 6의 지면의 하방으로부터 스캔하여 웨이퍼를 노광할 때는, 포커스 센서(6C)에 의해 웨이퍼 표면의 Z 위치를 노광 전에 계측하고, 노광 위치가 슬릿 위치에 도달하기 전에 스테이지를 Z 방향으로 구동시킨다. 도 6의 지면의 상방으로부터 스테이지가 스캔될 때에는, 포커스 센서(6C)에서와 같이 포커스 센서(6A)를 이용한다. 웨이퍼 표면이 투영 광학계의 렌즈 상면(image plane)에 포커싱되었는지를 확인하기 위해서, 슬릿 위치에도 포커스 센서(6B)가 배치되어 있다. 복수의 센서를 X 방향으로 병렬 배치함으로써, 슬릿 내의 웨이퍼 표면의 기울기도 검출할 수 있다. 이렇게, 노광 직전에 포커스를 계측한다. 이에 의해 웨이퍼 전체 표면에 걸쳐서 포커스를 계측할 필요가 없어져서, 높은 스루풋이 유지된다.
OAS를 이용한 노광 시퀀스는 이하와 같다. 웨이퍼가 노광 장치에 반송되면, 웨이퍼 상의 각 샘플 샷 내의 정해진 마크를 OAS를 사용해서 계측한다. 그 계측 결과에 기초하여, 웨이퍼의 위치 결정 오차(X, Y, 및 회전 성분) 및 웨이퍼의 열팽창에 기인하는 오차를 산출한다. 투영 광학계의 렌즈 아래로 웨이퍼를 이동시키고, 각 샷을 노광한다. 샘플 샷의 수를 증가시키면, 겹침 정밀도는 향상하지만, 스루풋은 저하한다. 또한, 1 샷 내에 복수의 계측 마크를 형성하고, 그것들을 계측함으로써, 샷 형상과 모순이 없는 노광이 가능해지고, 겹침 정밀도는 더욱 향상하지만, 역시, 스루풋은 저하한다.
각 샘플 샷의 계측과 노광을 동시에 행하여 스루풋과 정밀도 모두를 향상시키기 위하여, 2개의 웨이퍼 스테이지를 이용하여, 하나의 스테이지에서 노광을 행하는 동안에 다른 스테이지에서 OAS에 의해 계측을 행하는 방식이 있다(도 7 참조). 이 방식을 통상적으로 트윈 스테이지(twin-stage) 구성이라고 칭하는 반면, 하나의 스테이지만을 사용하는 방식을 통상적으로 싱글 스테이지(single-stage) 구성이라고 칭한다.
트윈 스테이지 구성에서는, 웨이퍼가 하나의 웨이퍼 스테이지에 로드될 때, 우선 OAS에 의해 샷의 X 및 Y 위치 어긋남이 계측된다. 다음으로,포커스 센서가 웨이퍼 전체면을 계측한다. 이때, 다른 웨이퍼 스테이지는 투영 광학계의 렌즈들 아래에 있다. 계측된 웨이퍼를 유지하면서, 투영 광학계의 렌즈들 아래로 전자의 웨이퍼 스테이지를 이동시키고, 이 스테이지에서 노광을 개시한다. 이때, 후자의 웨이퍼 스테이지를 OAS 위치로 구동하고, 마찬가지로, 웨이퍼 로딩, OAS 계측, 및 포커스 계측을 이 스테이지에서 노광과 병행하여 실행한다. OAS 계측 및 포커스 계측이 노광할 때까지 종료하면, 샘플 샷의 수가 증가된다 할지라도 스루풋은 결코 저하하지 않는다. 이 경우, 스루풋과 정밀도를 동시에 최적화하는 것이 가능하다.
포커스 센서는 통상적으로 X 방향으로 병렬 배치된다. 이것은 Y 방향으로 스테이지를 스캔할 때 가능한 한 넓은 폭을 계측함으로써 계측 시간을 단축하기 위한 것이다. 또한, (후술되는) 레이저 간섭계들은 렌즈 위치 및 OAS 위치에 각각 배치된다.
웨이퍼 스테이지는 2차원 평면(X-Y 평면)내의 임의 위치들로 고정밀도로 광범위에 걸쳐서 구동되는 것이 요구된다. 하나의 이유는 반도체 회로들의 미세화의 진행과 함께, 요구되는 정밀도가 점점 더 엄격하게 된다는 것이다. 다른 이유는 웨이퍼 크기의 증가, 웨이퍼를 그의 교환 위치로 구동하는 경우, 노광에 의해 웨이퍼 상에 형성된 마크를 노광 위치 이외의 위치에서 계측하는 경우 등의 경우들을 다루기 위해 실제로는 웨이퍼 스테이지를 매우 광범위에 걸쳐서 구동해야 한다는 것이다.
웨이퍼 스테이지의 위치를 검출하기 위해서 레이저 간섭계가 통상적으로 이용되고 있다. 이러한 레이저 간섭계를 X-Y 평면 내에 배치하는 것에 의해, 웨이퍼 스테이지의 X-Y 평면 내의 위치를 계측할 수 있다. 예를 들면, 도 1에 도시된 바 와 같이, 웨이퍼 스테이지(1)에는, X축 계측용의 평면 거울(2A)(이하, 바 미러(bar mirror)라고 칭함)이 Y축 방향으로 탑재될 수 있다. 웨이퍼 스테이지의 X축 방향의 위치를 계측하는 레이저 간섭계(3A-1)는, X축에 대략 평행하게 레이저 빔을 바 미러(2A)에 조사하여, 바 미러(2A)에 의해 반사된 광과 기준 광을 서로 간섭시킴으로써, 웨이퍼 스테이지의 상대적인 구동량을 검출하고 있다. Y축 방향의 웨이퍼 스테이지의 위치의 계측에도 마찬가지이다. X축 및 Y축 계측 중의 한쪽 또는 양쪽에 대한 2개의 간섭계를 제공함으로써, 웨이퍼 스테이지의 Z축 주위의 회전 각 θz도 검출할 수 있다.
레이저 간섭계들에 의해 얻어진 위치 정보에 기초하여, 리니어 모터 등의 액튜에이터(도시하지 않음)를 X-Y 평면에 배치함으로써, 웨이퍼 스테이지를 미리 정해진 위치로 구동할 수 있다.
회로들의 미세화의 진행에 보조를 맞추기 위해 렌즈의 NA가 증가함에 따라, 레티클 상을 웨이퍼에 전사하기 위한 포커싱의 오차 허용 범위가 좁아져서(초점심도가 감소하여), 포커스 방향(Z 방향)에 있어서 위치 결정의 요구되는 정밀도가 더 엄격해지고 있다. 이 때문에, 스테이지는, X-Y 평면에 직교하는 Z 방향(포커스 방향)에서의 스테이지의 위치, 및 X 및 Y축 방향으로의 스테이지의 기울기도 고정밀도로 계측 및 제어되어야 한다. X축 방향으로의 기울기는 Y축 주위의 회전 성분이며, 통상적으로 θy라 칭해진다. Y축 방향으로의 기울기는 X축 주위의 회전 성분이며, 통상적으로 θx라 칭해진다. 이러한 상황 아래에서,기울기 계측 방식이 제안되었다. 이 방식에서는, 2개의 X축 간섭계(3A-1 및 3A-2)를 Z 방향으로 병렬 배 치하고, 그것들을 동시에 이용하여 위치 계측을 하고, 그에 의해, 얻어진 계측 데이터 사이의 차분으로부터 스테이지의 X 방향으로의 기울기 θy를 계측한다. 마찬가지로, 2개의 Y축 간섭계(3B-1 및 3B-2)를 Z 방향으로 병렬 배치함으로써, Y축 방향으로의 기울기 θx를 계측할 수 있다(도 1 참조).
레이저 간섭계를 이용하여 Z 방향으로의 웨이퍼 스테이지의 위치를 계측하는 방법도 제안되어 있다. 도 2는 Z 방향으로의 웨이퍼 스테이지의 위치를 검출하기 위한 Z 레이저 간섭계의 구성의 일례를 나타낸다. 스테이지 상에 탑재된 반사 미러(4A)에 의해 레이저 광이 수직으로 상방에 반사된다. 기준으로 되는 렌즈 정반(lens base)에는 45°반사 미러(4B)가 탑재되어, 레이저 광을 수평으로 반사한다. 투영 광학계의 렌즈 중심 부근에 45°반사 미러(4C)가 설치되어, 레이저 광을 수직으로 하방에 투사한다. 스테이지에는 반사 미러(4D)가 배치되어, 레이저 광을 수직으로 반사하고, 레이저 광은 왔던 경로로 되돌아간다. 반사 미러(4A)는 스테이지 위에 제공되고, 스테이지 X 위치가 이동하면 그것에 의해 반사되는 레이저 광도 X 방향으로 이동하게 된다. 따라서, 45°반사 미러들(4B 및 4C)로서, X 방향으로 연장하는 바 미러들이 준비된다. 이에 의해, 스테이지가 X 방향으로 이동할 때도, 항상 스테이지 상의 같은 위치에 레이저 광을 가할 수 있다. 스테이지가 Y 방향으로 이동할 때, 반사 미러(4A)는 X 스테이지 상에 탑재되어 있기 때문에, 반사 미러(4A)와 그것에 의해 반사되는 레이저 광 양쪽 모두의 위치는 변화하지 않는다. 이때, 스테이지의 Y 위치에 대한 레이저 광의 위치가 이동하기 때문에, 스테이지 위에는 Y 방향으로 연장하는 바 미러(4D)가 제공된다. 이에 의해, Y 방향으로 스 테이지가 이동해도, 항상 레이저 광을 스테이지 상의 미러 표면에 가할 수 있다. 이 결과, 스테이지가 X-Y 평면에서 이동해도, 항상 레이저 간섭계에 의한 계측이 가능하게 된다.
위에서 설명한 계측 장비들 외에도, 스테이지 상에 Y 방향으로 연장하는 45°바 미러(4E)를 탑재하고, 렌즈 정반 상에 X 방향으로 연장하는 바 미러(4F)를 설치함으로써, 스테이지와 렌즈 정반 표면 사이의 상대 위치를 계측할 수도 있다(도 3 참조).
도 2 및 3에 도시된 구성 둘 다에서, 좌측 및 우측(이하, 각각, L측 및 R측이라 칭함)에서 유사한 구성들이 적용된다. L측 및 R측 상의 계측 장비들이 전체 X-Y 면에 걸쳐서 동시에 스테이지의 Z 위치들을 계측한다면, 얻어진 계측 결과들의 평균을 이용하여 스테이지의 최종 Z 위치를 더 정확하게 계측하는 것이 가능하게 된다. 또한, 이들 계측 결과들 간의 차분을 계측함으로써 스테이지의 기울기도 계측 가능하게 된다.
스테이지가 X 방향으로 구동될 때, X 방향으로의 스테이지 위치 결정 정밀도는, X방향으로 연장하는 바 미러들(4B, 4C, 및 4F)의 평탄도(flatness)에 의해 영향을 받는다. 마찬가지로, 스테이지가 Y 방향으로 구동될 때, Y 방향으로의 스테이지 위치 결정 정밀도는, Y 방향으로 연장하는 바 미러들(4D 및 4E)의 평탄도에 의해 영향을 받는다. Z 방향으로의 위치 결정 정밀도는 전술한 바와 같이 나노미터 오더의 정밀도가 요구되지만, 바 미러들의 전체면을 나노미터 오더의 정밀도로 가공하고 그렇게 가공된 미러들을 조립하는 것은 기술적으로도 곤란하다.
일본 특허 공개 번호 2001-015422호 공보에서는, 장치에 탑재된 포커스 센서를 이용해서 X 및 Y 방향으로의 스테이지 구동에 기인하는 Z 오차를 사전에 계측하고, 그 계측 결과를 고려하여 스테이지의 목표 위치를 결정함으로써, Z 방향으로의 위치 결정 정밀도를 향상시키는 기법을 제안하고 있다. X 및 Y 방향으로의 스테이지 구동에 기인하는 Z 오차는 바 미러들의 가공 정밀도에 기인하는 오차이며, 이하의 설명에서는 스테이지의 이동면(moving plane)의 오차라고 할 것이다.
이 기법에서는, 스테이지에 탑재된 웨이퍼의 표면 또는 웨이퍼를 대신하는 반사 평면을 포커스 센서들을 이용해서 계측한다. 이때, 계측 정밀도는 정상 조건 아래에서 웨이퍼의 표면 형상에 의해 영향을 받는다. 그러나, 이 기법에서는, 복수의 포커스 센서를 이용하여, 웨이퍼 표면 형상의 영향을 제거하고, 스테이지의 이동면의 오차만을 계측한다. 도 5를 참조하여, 웨이퍼 상의 소정의 계측점 P를 포커스 센서(6A)에 의해 계측하고, 스테이지를 구동시킴으로써 다른 포커스 센서(6B)에 의해 계측한다. 웨이퍼의 형상에 관계없이, 포커스 센서들(6A 및 6B)은 동일한 계측점 P를 계측하기 때문에, 동일한 계측값을 출력할 것으로 기대된다. 사실, 이들 센서들에 의해 다른 계측값이 얻어지는 것은, 스테이지 구동으로 인해 Z 방향으로의 오차가 계측값들에 포함되기 때문, 즉, 바 미러들의 가공 정밀도에 의해 계측값들이 영향을 받기 때문이다. 따라서, 위에 설명한 기법에 의해 웨이퍼 표면 형상의 영향을 받지 않고, 바 미러의 형상들을 계측할 수 있다.
이렇게 얻어진 Z 바 미러의 형상은 스테이지 제어 프로세서(도시하지 않음)의 메모리에 저장된다. 실제의 노광 시퀀스에서 스테이지를 구동하고자 할 때, 스 테이지의 목표 위치로부터 Z 바 미러에 대한 보정값을 산출함으로써, Z 방향으로의 Z 바 미러의 위치를 보정할 수 있다. 이에 의해, Z 바 미러의 형상의 오차가 보정된 이상적인 위치에, 스테이지를 위치 결정할 수 있게 된다.
포커스 센서들을 이용한 Z 바 미러(Z-X 바 미러 및 Z-Y 바 미러에 대한 총체적인 용어)의 계측은, 특수한 기구(machine)를 이용하는 대신, 예를 들면, 웨이퍼를 이용하는 장치만으로 자기 캘리브레이션(self calibration)이 가능한 점에서 우수하다. Z 바 미러만이 아니라 X-Y 바 미러도 장치 리셋시(제로 탐색(zero seek)시)의 충격이나 경시 변화(temporal change)에 의해 변형되는 것으로 생각된다. 그러한 상황을 피하기 위해 바 미러는 정기적인 형상 계측을 필요로 하기 때문에, 이 기법에서 특수한 기구들을 요구하지 않는 장점은 매우 중요하다.
도 4는 Z 위치 및 기울기의 기준으로서 바 미러를 사용하는 것 이외의 다른 방법을 나타내고 있다. 이 기법에서는, 스테이지 정반면(stage surface plate surface)을 기준으로서 이용하여 X-Y 평면을 슬라이드하는 X-Y 스테이지 상에 Z 및 기울기 방향들로 구동이 가능한 스테이지가 제공되고, 리니어 인코더가 X-Y 스테이지(스테이지 정반면 기준)와 Z/기울기 스테이지 사이의 거리를 계측한다. 전자와 후자의 기법은 계측 대상이 바 미러인지 스테이지 정반면인지에서 차이가 있으며, 이는 이들 계측 대상 양쪽 모두에 동일한 논리가 적용된다는 것을 의미한다. 이하에서는 바 미러를 이용한 Z 위치/기울기를 계측하는 방법이 예시되지만, 스테이지 정반면이 계측되는 경우에도 거의 똑같이 적용된다.
상기와 같이, 포커스 센서들 및 웨이퍼를 이용하여 Z 바 미러의 형상을 정확 하게 계측할 수 있다. 그 결과, 스테이지를 X 및 Y 방향으로 구동하기 전에, Z 방향의 오차 성분을 사전에 보정함으로써, Z 방향으로의 위치 결정 정밀도가 향상하고, 노광 장치의 포커스 정밀도가 차례로 향상한다.
그럼에도 불구하고, 바 미러는 여러 가지 요인으로 인해 서서히 또는 돌연히 변형될 수 있다. 예를 들면, 바 미러가 나사로 고정되는 경우, 나사의 조임(screw clamp)에 의한 응력으로 인해, 바 미러가 경시적으로 서서히 그의 원래의 형상으로 되돌아가는 경향이 있다. 이것은 비교적 장기간에 걸쳐 바 미러가 서서히 변형되는 경우를 예시한다. 또한, 레이저 간섭계는 상대 위치 계측계이기 때문에, 장치의 전원을 투입할 때 스테이지의 원점 위치가 결정되어야 한다는 것을 주의한다. 이때, 스테이지의 원점 위치는 종종 그에 대한 기계적 접합에 의해 결정된다. 이 경우, 스테이지에 부딪치는 힘이 작용하고, 이는 경우에 따라서는 바 미러를 변형시킬 수 있다.
바 미러를 접착제를 이용하여 위치에 고정시키는 경우에도 마찬가지이다. 즉, 접합 표면의 특성의 경시 변화나 스테이지에 대한 기계적인 부딪치는 힘으로 인해 바 미러가 변형될 수 있다.
즉, 나노미터 오더의 정밀도로 바 미러의 형상의 변화를 기계적으로 억제하는 것은 매우 곤란하다. 일본 특허 공개 번호 2001-015422호 공보에 설명된 방법에 의해, Z 바 미러의 형상을 고정밀도로 보정할 수 있다 할지라도, Z 방향의 위치 결정 정밀도는 종종 서서히 또는 돌연히 악화된다.
이러한 문제를 극복하기 위하여, 정기적으로 상기 방법에 의해 Z 바 미러의 형상을 계측할 필요가 있다. 불행하게도, 이 경우에도, 노광 처리를 멈춘 사이에 계측할 필요가 있기 때문에 노광 장치의 생산성은 저하된다. 바 미러의 변형의 속도는 개별적인 노광 장치의 성능에 따라 다르므로, 적절한 계측 시기를 아는 것은 곤란하다. 계측이 너무 긴 간격으로 행해지면, 바 미러의 변형의 양이 너무 커지고, 이로 인해 불량품이 발생할 수 있다. 반대로, 계측이 너무 빈번하게 행해지면, 노광 장치의 가동률이 저하되어, 생산성의 악화를 초래한다. 또한, 양쪽 모두의 경우에, 상기한 방법은 바 미러가 돌연히 변형된 상황에 대처할 수 없다.
본 발명은 기판 스테이지의 구동에 기인하는 투영 광학계의 광축 방향에 있어서의 오차를, 노광 처리를 멈추는 일 없이, 계측할 수 있는 노광 장치를 제공하는 것을 목적으로 한다.
본 발명에 따르면, 투영 광학계를 통해서 레티클의 패턴을 기판에 투영해서 상기 기판을 주사 노광하는 노광 장치가 제공되고, 상기 노광 장치는, 상기 기판을 유지하는 기판 스테이지를 상기 투영 광학계의 광축 방향과 직교하는 제1 방향으로 스캔하고, 상기 광축 방향 및 상기 제1 방향과 직교하는 제2 방향으로 상기 기판 스테이지를 스텝 이동시키는 구동 기구; 상기 구동 기구가 상기 기판 스테이지를 상기 제1 방향으로 스캔할 때 상기 투영 광학계의 광축 방향에 있어서의 상기 기판 스테이지의 위치를 계측하는 제1 계측기; 상기 기판 상에서 상기 제2 방향으로 연 장되는 하나의 직선 상의 복수의 계측점에서 상기 투영 광학계의 광축 방향에 있어서의 상기 기판의 표면 위치들을 계측하는 제2 계측기; 및 제어기를 포함하고, 상기 제어기는, 상기 기판 스테이지가 상기 제2 방향으로 스텝 이동하기 전과 후의 쌍방에 있어서 상기 기판 상의 적어도 하나의 동일한 영역을 상기 복수의 계측점 중의 서로 다른 계측점들에서 계측하도록 상기 제2 계측기를 제어하고, 상기 제2 계측기에 의해 얻어진 계측 결과에 기초하여 상기 기판 스테이지의 상기 제2 방향으로의 구동에 기인하는 상기 제1 계측기의 계측 오차를 산출한다.
본 발명에 따르면, 기판 스테이지의 구동에 기인하는 투영 광학계의 광축 방향에 있어서의 오차를, 노광 처리를 멈추는 일 없이, 계측할 수 있는 노광 장치를 제공할 수 있다. 이에 의해, 노광 장치의 정밀도와 생산성을 동시에 최적화하는 것이 가능하게 된다.
본 발명의 추가적인 특징들은 첨부 도면들을 참조하는 예시적인 실시예들에 대한 다음의 설명으로부터 명백해질 것이다.
이하, 본 발명에 따른 싱글 스테이지 노광 장치 및 트윈 스테이지 노광 장치의 실시예들을 개별적으로 설명한다.
[트윈 스테이지 노광 장치]
트윈 스테이지 노광 장치는, 노광 영역, 계측 영역, 및 노광 영역 및 계측 영역에서 이동할 수 있는 복수의 기판 스테이지를 포함한다. 노광 장치는 계측 영 역에서 계측된 기판을 그 계측 결과에 따라서 위치 결정하면서 노광 영역에서 노광한다.
트윈 스테이지 노광 장치는, 도 7에 도시된 바와 같이, 계측 영역의 OAS 위치에서 노광 처리 전에 투영 광학계의 광축 방향에 있어서의 기판(웨이퍼)의 표면 위치(높이 위치)들을 계측한다. 기판 높이 위치(포커스 위치)를 계측하는 제2 계측기(포커스 센서)들의 복수의 계측점(7-1 내지 7-5)은 X 방향으로 연장되는 하나의 직선 위에서 정렬한다. X 방향은 제2 방향으로서, 투영 광학계의 광축 방향과 직교하는 제1 방향(Y 방향) 및 상기 광축 방향과 직교한다. 도 8에 도시한 바와 같이, 기판을 유지하는 기판 스테이지를 구동 기구(도시하지 않음)에 의해 Y 방향으로 스캔하면서 포커스 센서를 이용해서 복수의 계측점(7-1 내지 7-5)에서 1 열(column)의 영역(9A)의 포커스 계측을 행한다. 포커스 계측점들(10)은 통상적으로 1 내지 수 mm 피치로 Y 방향으로 정렬한다. 다음으로, 구동 기구에 의해 기판 스테이지를 X 방향으로 스텝 이동시킨다. 그 후, 마찬가지로, 구동 기구에 의해 기판 스테이지를 Y 방향으로 스캔하면서 인접한 열의 영역(9B)의 포커스 계측을 행한다. 이 동작을 반복하는 것에 의해, 노광의 개시 전에 기판 전체면에 걸쳐 포커스 계측을 사전에 행한다.
이때, 1 열의 포커스 계측 영역은 종종 하나의 샷의 폭만큼 크거나, 스루풋을 향상시키기 위해 복수의 샷의 전체 폭만큼 크다. 양쪽 모두의 경우에, 포커스 계측 범위를 계측하기에 충분한 수의 포커스 센서들을 배치한다.
상기와 같은 트윈 스테이지 구성에서, 본 발명에 따른 실시예에서는, 도 10 에 도시된 바와 같이, 1개의 계측점(7-6)이 본래의 계측 영역의 밖을 계측하는 데 사용되도록 6개의 계측점(7-1 내지 7-6)을 배치한다. 이러한 배열에 의해,1개의 계측점(7-6)에 인접하는 포커스 계측 영역을 계측하게 된다. 본 실시예에서는, 계측 영역의 밖을 계측하기 위해 1개의 계측점이 사용되고 있지만, 2개 이상의 계측점이 사용될 수도 있다.
도 10에 있어서 영역(9A)을 계측하는 경우, 가장 우측의 계측점(7-6)이 인접하는 영역(도 11에 도시된 영역(9B))을 계측하는 데 이용된다. 영역(9A)을 계측하는 경우에 가장 우측의 계측점(7-6)에서 얻어진 계측값을 B(X0)라고 한다. 상술한 바와 같이, Y 방향으로의 위치는 1 내지 수 mm 피치에서 계측되기 때문에, B(X0)의 복수의 값들도 Y 방향으로 계측된다. 여기서는 편의상, 기판 중심, 즉, 위치 Y=0에서의 계측값에만 주목한다. 후술하겠지만, 모든 Y 위치의 데이터를 평균화하더라도, 이론상, 단일 Y 위치에서의 계측값을 이용하여 얻어진 것과 동일한 결과가 얻어질 수 있다. 계측 정밀도는 평균 효과에 의해 향상될 것으로 기대된다.
인접하는 영역(9B)을 계측하는 경우, 가장 좌측의 계측점(7-1)이, 이전의 영역(9A)을 계측할 때 가장 우측의 계측점(7-6)을 이용하여 계측한 위치와 같은 위치(도 11의 위치(11))를 계측하는 데에 이용된다. 영역(9B)을 계측할 때의 계측점(7-1)에서 얻어진 계측값을 A(X1)이라고 하고, 계측점(7-6)에서 얻어진 계측값을 B(X1)이라고 한다.
마찬가지로, 포커스 센서들은 순차적으로 인접한 영역들에서 6개의 계측점(7-1 내지 7-6)에서 포커스 계측을 행하여 기판 전체면에 걸쳐서 포커스 계측을 종료한다.
여기서 얻어진 계측값들로부터 Z-X 바 미러의 형상을 산출하는 방법은 일본 특허 공개 번호 2001-015422호 공보 등에서 설명되어 있고, 이하에서는 그의 간단한 개요만을 설명한다.
n개의 영역에서 기판 스테이지가 X 방향으로 스텝 이동하기 전과 후의 쌍방에 있어서 동일한 기판 영역을 서로 다른 가장 우측의 계측점(7-6)과 가장 좌측의 계측점(7―1)에서 계측하는 것에 의해 얻어지는 계측 결과들은 이하와 같다. 즉, 이들 계측 결과들은 기판 스테이지 위치 X가 X0 내지 Xn-1일 때 각각 가장 우측의 계측점(7-6)에서 얻어진 계측 결과들 B(X0) 내지 B(Xn-1), 및 기판 스테이지 위치 X가 X1 내지 Xn일 때 각각 가장 좌측의 계측점(7-1)에서 얻어진 계측 결과들 A(X1) 내지 A(Xn)을 포함한다.
B(X0)
B(X1) A(X1)
B(X2) A(X2)
B(Xn-1) A(Xn-1)
A(Xn)
이때, 위치들 X0 내지 Xn은 다음을 만족시킨다.
X1 = X0 + XF
X2 = X1 + XF = X0 + 2XF
Xn = Xn-1 + XF = X0 + nXF
여기서 XF는 포커스 계측 영역의 폭이며, 인접하는 영역을 계측하기 위해서 기판 스테이지가 스텝 이동하는 폭이다.
계측 결과들 B(X0)과 A(X1)은 기판 상의 같은 위치(11)에서 얻어진다. 즉, 스테이지 이동면이 이상적으로 평탄하다면, 그 계측 결과들 B(X0)와 A(X1)은 동일한 값을 가질 것으로 기대된다. 더 구체적으로, 이 2개의 계측 결과 사이의 차이는 스테이지의 이동면과 이상적인 면과의 어긋남의 양, 즉, Z-X 바 미러의 형상의 영향의 정도를 나타낸다. 이들 양은 기판 스테이지를 X0에서 X1, ... 로 구동할 때 Z 오차 ΔZ로서 정의된다:
ΔZ(X0 → X1) = A(X1) - B(X0)
ΔZ(X1 → X2) = A(X2) - B(X1)
ΔZ(Xn-1 → Xn) = A(Xn) - B(Xn-1)
상기 식들 각각은 기판 스테이지를 XF만큼 구동할 때의 오차 차분을 나타내고 있다. Z-X 바 미러의 절대값들 Z(X0) 내지 Z(Xn)을 Z 오차 ΔZ로 나타내기 위해서는, 그것들을 다음과 같이 합산하면 된다:
Z(X0) = 0
Z(X1) = Z(X0) + ΔZ(X0 → X1) = 0 + ΔZ(X0 → X1)
Z(X2) = Z(X1) + ΔZ(X1 → X2) = 0 + ΔZ(X0 → X1) + ΔZ(X1 → X2)
Z(Xn) = Z(Xn-1) + ΔZ(Xn-1 → Xn) = ΔZ(X0 → X1) + ... + ΔZ(Xn-1 → Xn)
절대값들에 대한 기준이 없기 때문에, 기판 스테이지가 X0에 위치할 때의 바 미러 오차 성분은 가설적으로(tentatively) 0이라고 가정하는 것을 주의한다.
여기서는 계산의 편의상 X = X0가 Z-X 바 미러의 형상을 판단하는 기준으로서 사용되고 있지만, 어디든지 기준으로서 사용될 수 있다. 예를 들면, X = Xc를 Z-X 바 미러의 형상을 판단하는 기준으로서 사용하기 위해서는, Z-X 바 미러의 모든 위치에서 절대값들 Z(X0) 내지 Z(Xn)으로부터 Z(Xc)의 값을 빼면 된다:
Z(X0) → Z(X0) - Z(Xc)
Z(X1) → Z(X1) - Z(Xc)
Z(Xc) → Z(Xc) - Z(Xc) = 0
Z(Xn) → Z(Xn) - Z(Xc)
여기서 얻어지는 Z-X 바 미러의 형상은 단지 이전 계측/보정 후의 변화량에 불과하다. 즉, Z-X 바 미러가 변화하지 않았다면, 상기 함수 Z(X)는 0이거나, 대략 계측 오차 정도로 작은 값이 된다.
임계값을 사전에 설정할 수 있고,Z(X)의 값이 임계값을 초과하면, 재차, Z-X 바 미러를 정밀하게 계측하는 시기를 결정할 수 있다. 예를 들면, 일본 특허 공 개 2001-015422호 공보에서는 이 방법의 상세를 설명하고 있다.
물론, 여기에서 얻어진 Z(X)에 대한 데이터를 Z-X 바 미러의 보정 함수에 가산하는 것도 가능하다. 이 경우, 동일한 점을 반복해서 계측하는 센서가 1개가 아니라 복수 개 있을 경우, 스테이지가 X 방향으로 구동될 때의 Z 오차뿐만 아니라 기울기 오차도 계측하는 것이 가능하다.
이상과 같이, 본래의 포커스 계측 영역에 있어서의 계측점들의 수보다 많은 수의 계측점을 설치함으로써, Z-X 바 미러의 형상의 변동을 계측할 수 있다.
Z-X 바 미러는, 간섭계와 함께, 기판 스테이지가 스캔될 때, 기판 스테이지의 높이 위치를 계측하는 제1 계측기를 구성하고 있다. 또한, 제어기(도시하지 않음)가 전술한 Z-X 바 미러 및 간섭계에 기인하는 계측 오차를 산출한다.
상기한 바와 같은 계측 방법은 X 방향으로의 스텝 사이즈 XF가 계측점들(7-1 및 7-6) 사이의 거리와 동등한 경우에만 실행 가능하다. X 방향으로의 스텝 사이즈는 포커스 계측 영역의 X 방향으로의 사이즈와 같다. 즉, 샷 사이즈의 정수배(도 8에서 복수의 샷이 동시에 계측되는 경우 2개의 샷의 전체 폭)이다. 따라서, 샷 사이즈에 따라서는, 2개의 계측점에서 계측되는 기판 표면 위치가 항상 서로 정확히 일치하지는 않는다.
기판 표면이 충분히 평탄하고, 2개의 계측점에서 계측되는 기판 표면 위치들이 서로 인접한 경우, 그것들은, 정확히 서로 일치하지 않더라도, 작은 오차만을 가질 것으로 기대된다. 즉, 계측점들 사이의 간격이 충분히 작게 설정된다면, 즉, 포커스 계측 영역 내에 많은 수의 계측점이 설정된다면, 상기 계측 방법은 충분히 유용하다.
물론, 코스트-효율의 보증 등의 문제들을 고려할 때 항상 많은 수의 계측점이 설정될 수는 없다. 그러나, 도 8에 도시된 바와 같이, 통상의 포커스 계측을 행하는 영역에서만 계측점들이 설정되는 구성에서도 본 발명은 적용 가능하다. 즉, 도 10에 도시된 바와 같이, 본래의 포커스 계측 영역의 계측점들의 수보다 많은 수의 계측점을 설정하는 대신 Z-X 바 미러를 계측하고자 할 때에만 작은 포커스 계측 영역을 설정하면 된다.
도 12는 이 모드를 나타낸다. 본래의 포커스 계측들은 2개의 열에서 동시에 행해진다는 것을 주의한다. 포커스 센서들의 계측점들은 2개의 샷의 전체 폭 내에서 설정된다. 본래의 포커스 계측 영역의 폭은, 2개의 샷의 전체 폭과 동등하게 설정된다. 대조적으로, 포커스 센서들을 이용해서 스테이지 이동면의 오차를 산출하는 모드에서는, 포커스 계측 영역(9C)이 2개의 샷의 전체 폭보다 작게 설정된다. 이때, 포커스 센서의 가장 우측의 계측점(7-5)은 포커스 계측 영역(9C)의 밖으로 위치가 결정된다. 계속해서, 기판 스테이지를 X 방향으로 스텝 구동하고, 마찬가지로, 포커스 계측 영역(9D)을 계측한다. 이때, 가장 좌측의 계측점(7-l)이 가장 우측의 계측점(7-5)에서 이전에 계측된, 기판 표면 위치(11)를 계측하는 데에 이용된다. 이렇게, 스테이지 이동면의 계측 오차를 산출하는 모드에서 포커스 계측 영역을 샷 폭의 2배보다 작게 설정함으로써 동일한 효과를 얻을 수 있다. 마찬가지로, 포커스 계측을 샷의 각 열마다 행하는 경우에는, 포커스 계측 영역을 샷의 폭보다 작게 설정하면 된다.
그 경우, 포커스 계측 영역이 X 방향으로 감소되기 때문에, 기판 전체면을 계측하기 위해서는 보다 많은 수의 영역이 계측될 필요가 있다. 즉, 그 경우 계측 시간은 길어진다.
또한, 노광된 기판이 다중 노광(multiple exposure)되는 경우(기초 패턴이 있을 경우), 기초 패턴에 기인하는 포커스 센서들의 임의의 오차 성분을 제거할 필요가 있다. 일반적으로, 기판 전체면에 있어서 모든 샷의 공통 성분, 즉, 모든 샷의 평균값을 계측값으로부터 뺄 필요가 있다. X 방향의 스텝 사이즈 XF가 샷 사이즈의 정수배인 경우, 포커스 센서는 각 계측점에서 샷 내의 같은 위치를 계측하고, 전술된 방법에 의해 기판 전체에 걸쳐서 샷 공통 성분을 제거하는 것은 용이하다. 대조적으로, 포커스 계측 영역(9C)을 2개의 샷의 전체 폭보다 작게 설정하는 경우에는, 각 포커스 계측 영역마다 각 계측점에서의 샷 내의 기판 표면 위치가 다르게 된다.
이러한 상황에 대처하기 위해, 기초 패턴을 갖지 않는 기판이 노광되는 경우에만 이 오차 제거 동작이 행해지는 등의 조건이 설정될 수도 있다. 기초 패턴이 존재하지 않으면, 패턴에 기인하는 어떤 오차도 발생하지 않아, 상기 문제는 제기되지 않는다. 또한, 기초 패턴이 없는 기판은 기초 패턴의 샷 위치를 정확하게 계측하는 얼라인먼트 계측을 필요로 하지 않기 때문에, 계측 스테이션은 시간 여유를 갖는다. 따라서, 기초 패턴이 없는 기판에 대해서만 Z 바 미러를 계측함으로써 스루풋의 감소도 억제할 수 있다.
바 미러는 통상적으로 완만하게 변형하기 때문에, 항상 매번 Z 방향의 오차 를 계측할 필요는 없고 소정 시간 간격으로 계측하면 된다. 따라서, 기초 패턴이 없는 기판에 대해서만 Z 방향의 오차를 계측하면 된다. 예를 들면, 미리 정해진 매수의 기판이 처리될 때마다 계측이 행해질 수 있다. 미리 정해진 수의 기판이 처리될 때마다 대신에, 미리 정해진 시간이 경과할 때마다 계측이 행해질 수도 있다. 노광 장치에 전원이 투입된 직후에는, 바 미러가 갑작스럽게 변형될 가능성이 있기 때문에, 전원 투입 시에 Z 방향의 오차를 계측하는 것이 바람직하다.
기초 패턴이 있는 기판 상의 포커스 계측 영역이 Z-X 바 미러를 계측하고자 할 때 작게 설정되는 경우, 샷 공통 성분을 기판 전체면에 걸쳐서 제거하는 대신 샷 공통 성분을 각 포커스 계측 영역마다 제거할 수 있다. 즉, 도 12에 있어서 포커스 계측 영역(9C)에서 샷 공통 성분을 제거한다. 통상적으로, Y 방향으로 배열된 샷에 대해서는, 포커스 센서가 각 계측점에서 같은 기판 표면 위치를 계측하고, 이 포커스 계측 처리가 수행될 때마다, 즉, Y 방향으로 배열된 샷들의 각 세트마다, 샷들에 공통인 성분을 제거하면 된다. 영역(9C)은 2개의 열의 샷들을 포함하기 때문에, 동일한 처리를 2회 행한다. 마찬가지로, 영역(9D)에 있어서도 Y 방향으로 배열된 샷들에 공통인 성분을 제거한다. 이 제거 처리는 부분적으로 계측된 샷에 대해서도 행해져야 하므로, 영역(9D)에서는 3회 행해진다. 이 처리를 기판 전체면에 걸쳐서 반복하는 것에 의해, 기초 패턴에 기인하는 오차가 제거될 수 있다. 그 결과, 본래의 포커스 계측 영역의 계측점들의 수보다 많은 수의 계측점이 설정되는 경우, 또는 X 스텝 사이즈 XF가 X 방향으로 샷의 폭의 정수배가 아닌 경우에도, 기초 패턴에 기인하는 오차를 제거하면서 Z 바 미러의 오차를 산출할 수 있다. 그러나, 정밀도를 향상시키기 위하여, 도 10에 도시된 바와 같이, 기판 전체면에 공통인 성분을 산출하는 것이 바람직하다.
이상, Z-X 바 미러의 오차를 계측하는 방법에 대해서 설명했다. Z-X 바 미러의 오차는 기판 스테이지를 X 방향으로 구동할 때의 Z 오차이다. 여기서는 각 포커스 계측 영역에 있어서 Y = 0에만 주목했지만, 모든 Y 위치에서 계측 결과들 B(X0)와 A(X1) 사이의 차이는 동일하다. 이 때문에, 모든 Y 위치에서 얻어진 계측 결과들을 평균하여도, 마찬가지로 Z-X 바 미러의 형상을 계측하고 평균화 효과에 의해 계측 오차를 저감시키는 것이 가능하게 된다.
이상, Z-X 바 미러에 대해서 예시하였다. 그러나,Z-Y 바 미러의 오차도, 도 13에 도시된 바와 같이, Y 방향으로 기판 스테이지를 구동할 때 서로 일치하도록 Y 방향으로 계측점(7)을 시프트시켜 얻어진 계측점(8)을 설정하는 것에 의해 계측 가능하다.
Y 방향으로의 계측 피치는 자유롭게 설정할 수 있기 때문에, 계측점들이 항상 서로 일치하도록 Y 방향으로 시프트된 계측점들을 설정하는 것은 용이하다. Y 방향으로 소정의 센서 위치를 시프트시켜 얻어진 센서 위치가 YL만큼 그것으로부터 떨어져 있다면, 기판 전체면에 걸친 포커스 계측의 피치는 YL 또는 YL의 정수분의 1(a fraction of YL)과 동등하면 된다. 이에 의해, Y 방향으로 시프트된 복수의 계측점에서 계측된 기판 위치들을 다른 계측점들에서 계측된 대응하는 기판 표면 위치와 일치시키는 것이 가능하게 된다. Z-Y 바 미러의 형상을 산출하는 이후의 처리들은 X 방향으로와 동일한 원리에 의해 행해질 수 있어, 그의 설명을 생략한 다.
[싱글 스테이지 노광 장치]
다음으로, 싱글 스테이지 노광 장치에서 이용되는, 노광 직전에 포커스 계측을 행하는 방법에 대해서 설명한다.
노광 직전에 포커스 계측을 행하는 방법은 웨이퍼가 정지해 있는 동안의 노광 방식과 스캔 노광 방식이 다르다. 여기에서는 현재 주류의 노광 방식에 대해서 설명한다.
도 6은 스캔 노광 방식의 스테이지 구성 및 포커스 센서들의 배치를 나타낸다. 노광광은 노광 슬릿을 통과할 때 사각형 노광 형상을 형성한다. 기판 스테이지를 구동하여 기판 상의 사각형 노광 범위를 이동시키고, 1 샷만큼의 노광을 행한다. 따라서, 스캔 노광 방식의 특징은 1 샷의 노광 영역이 비교적 크다는 것이다.
노광 슬릿의 길이 방향을 제1 방향(X 방향)으로 정의하고, 그의 폭 방향을 제2 방향(Y 방향)으로 정의한다. 기판 스테이지는 노광하면서 Y 방향으로 스캔되고, 현재의 샷에 인접한 샷을 노광하기 위해서 X 방향으로 스텝 이동하게 된다.
포커스 센서들(6A 및 6C)은 통상적으로 노광 슬릿의 수 mm 전방에 배치되고, 노광 슬릿이 노광 영역에 들어가기 전에 포커스 계측을 행한다. 노광 슬릿이 노광 영역에 들어갈 때까지 기판 스테이지를 Z 방향으로 구동함으로써, 베스트 포커스로 노광을 행할 수 있다. 포커스 센서들의 복수의 계측점을 X 및 Y 방향으로 설정함으로써 노광 슬릿에 있어서의 기울기도 계측 가능하게 된다. 편의상, 도 6은 포커스 센서들이 X 방향으로만 병렬 배치된 예를 도시하고 있다.
이러한 스테이지 구성에 있어서의 구체적인 방식을 이하에서 설명한다.
종래의 포커스 센서는 최대 노광 폭(X 방향의 폭)보다 작은 영역 내의 Z 방향으로의 기판 스테이지의 기울기 및 위치를 계측한다. 그러나, 본 실시예에서는, 도 9에 도시된 바와 같이, 최대 노광 영역 밖에도 계측점이 설정된다.
이 스테이지 구성에서의 포커스 계측 방법에 대해서 도 14를 참조하여 설명한다. 제1 노광 영역(12-1)을 기판 스테이지에 의해 스캔하면서 노광한다. 이 경우, 노광 슬릿이 노광 영역에 도달하기 전에 계측점들(6A-1 내지 6A-3)에서 기판 표면 높이를 계측한다. 노광 슬릿이 노광 영역에 도달했을 때, 기판 스테이지의 Z 및 기울기 방향으로의 구동이 종료된다. 이때, 스테이지가 조정되었는지 여부를 확인하기 위해서, 슬릿 위치들을 계측하는 포커스 센서들을 이용해서 계측점들(6B-1 내지 6B-3)에서 계측을 행한다. 여기까지 설명한 동작들은 통상의 노광 시퀀스에서와 동일하다. 그러나, 본 실시예에서는, 관련 동작을 위해 특별히 설정된 가장 우측의 계측점(6B-4)에서, 제1 노광 영역(12-1)의 밖의 인접한 노광 영역(12-2)을 계측하게 된다. 제1 노광 영역(12-1)의 노광이 종료된 후에, 기판 스테이지를 X 방향으로 스텝 이동시켜서 그에 인접한 노광 영역(12-2)을 노광한다. 이 경우, 가장 좌측의 계측점(6B-1)에서 다시 제1 노광 영역(12-1)의 노광시에 가장 우측의 계측점(6B-4)에서 계측된 기판 표면 위치(13)를 계측한다.
이후의 포커스 계측 처리들은 트윈 스테이지 구성에서와 동일하다. 즉, 기판 상의 동일한 위치가 다른 계측점들에서 계측되기 때문에, 동일한 계측값이 이들 계측점에서 얻어질 것으로 기대된다. 사실, 이들 계측점에서 다른 계측값들이 얻 어지는 것은, 기판 스테이지의 X 방향으로의 구동으로 인해 계측값들에 Z 방향으로의 오차가 생기기 때문이다. 이 오차의 원인은 Z 바 미러의 형상의 경시 변화이며, 이것은 Z 바 미러가 조정을 필요로 한다는 것을 의미한다. 따라서, 이 방법을 기판 전체면에 걸쳐서 행함으로써, 기판 스테이지 구동 범위에 있어서의 바 미러의 형상을 산출할 수 있다.
트윈 스테이지 구성에서는, 기판의 Z 방향으로의 위치 및 기울기는 일정한 상태에서 인접한 영역들에서 계측된다. 대조적으로, 싱글 스테이지 구성에서, 즉, 리얼타임 포커싱에서는, 각 노광 영역마다 Z 및 기울기 방향으로 기판 스테이지가 구동된다. 이에 의해 포커스 센서들에 의해 얻어지는 계측값들뿐만 아니라 기판 스테이지의 구동량도 계산에 포함시킬 필요가 있다. 단순화를 위하여, Z 방향으로만 기판 스테이지를 구동하는 경우를 생각한다. 트윈 스테이지 구성에서와 같이, 스테이지 X 위치에 있어서의 계측점(6B-4)에서 얻어진 계측 결과 B(X), 계측점(6B-1)에서 얻어진 계측 결과 A(X), 및 기판 스테이지의 Z 구동량 S(X)는 다음을 포함한다:
B(X0) S(X0)
B(X1) A(X1) S(X1)
B(X2) A(X2) S(X2)
B(Xn-1) A(Xn-1) S(Xn-1)
A(Xn) S(Xn)
기판 스테이지의 구동량을 고려해서, Z 오차 ΔZ는 다음과 같이 주어진다:
ΔZ(X0 → X1) = {A(X1) - S(X1)} - {B(X0) - S(X0)}
ΔZ(X1 → X2) = {A(X2) - S(X2)} - {B(X1) - S(X1)}
ΔZ(Xn-1 → Xn) = {A(Xn) - S(Xn)} - {B(Xn-1) - S(Xn-1)}
싱글 스테이지 구성에서도, 트윈 스테이지 구성에서와 정확히 동일한 방식으로, 기판 전체면에 걸쳐서 Z 바 미러의 형상을 산출할 수 있다.
기판 스테이지가 기울기 방향으로 구동될 경우, 회전 중심으로부터의 거리 및 기울기량에 기초하여 Z 오차들을 이용하여 포커스 계측 위치에서의 Z 량을 나타냄으로써 상기와 같은 방법으로 Z 바 미러의 형상을 산출할 수 있다.
스테이지 위치 X에 있어서의 스테이지의 Z 방향으로의 구동량을 Zs(X), X 방향으로의 스테이지의 기울기를 Tilt-X(X), 회전 중심으로부터 포커스 계측 위치까지의 거리를 Xrot(X), Y 방향으로의 스테이지의 기울기를 Tilt-Y(X), 회전 중심으로부터 포커스 계측 위치까지의 거리를 Yrot(X)라고 하면, 다음이 만족된다:
S(X) = Zs(X) + Tilt-X(X)·Xrot(X) + Tilt-Y(X)·Yrot(X)
각 X 위치(X0, X1, ..., Xn)에 상기 식이 적용되면 된다.
포커스 계측 위치로부터 회전 중심까지의 거리는 각 X 위치에 있어서 가변적이지만, 그것은 스테이지의 제어 방식에 따라서는 종종 고정값을 갖는다. 또한, 상기 식의 항들이 양의 부호를 갖는지 음의 부호를 갖는지, 즉, 그것들이 서로 합산되는지 또는 감산되는지는 회전 각의 양의 방향(플러스 방향)에 따라서 결정된 다. 여기서는 이 메커니즘(mechanism)에 대해 상세히 설명하지 않는다.
위에서는 편의상, 위치 Y = 0에 대해서만 계측 처리를 설명하였지만, 통상적으로 1개의 노광 영역 내에 수 mm 간격으로 복수의 계측 위치가 Y 방향으로 정렬한다. 모든 이들 계측 위치들에서 얻어진 계측 결과들을 평균할 수도 있다. 노광 영역들도 Y 방향으로 정렬하므로, 이들 영역들에서 얻어진 계측 결과들을 더 평균화함으로써, 계측 오차의 영향을 감소시키는 것이 가능하게 된다.
또한, 상기 식은 기판 중심에 설정된 포커스 센서들의 계측점들(6B-1 및 6B-4)이 일치된다는 것을 전제로 기술되었지만, 먼저읽기 센서(look-ahead sensor)들의 계측점들(6A-1 및 6A-4 또는 6C-1 및 6C-4)을 일치시키는 것에 의해서도 동일한 효과를 얻을 수 있다. 따라서, 계측점들의 조합들 중 하나의 조합에서 얻어진 계측 결과가 이용될 수도 있고, 또는 계측점들의 모든 조합들에서 얻어진 계측 결과들의 평균이 이용될 수도 있다.
도 14는 한쪽에 설정된 포커스 센서들의 계측점들의 수가 다른 쪽의 계측점들의 수보다 1개 더 많은 예를 도시하고 있다. 노광 영역의 양쪽에 설정된 계측점들이 1개 또는 복수 개 증가되면, X 구동으로 인한 Z 방향으로의 오차뿐만 아니라, 기울기 오차도 계측할 수 있다. 그러나, 설정되는 계측점들의 수가 증가함에 따라, 수반되는 코스트도 당연히 증가한다.
상기 식이 성립할 경우, 계측점들(6B-1 및 6B-4)에서 계측되는 기판 표면 위치들은 서로 완전히 일치한다. 즉, 계측점들(6B-1 및 6B-4) 사이의 간격은 노광 영역(샷)의 폭(X 방향으로의 스텝 구동량)과 동일하다. 그러나, 실제로는, 통상의 노광에서의 노광 영역의 폭은 노광에 의해 전사되는 패턴에 따라 다양하다. 이 사실을 고려하여, 포커스 센서들의 계측점들(6B-1 및 6B-4)에서 기판 상의 정확히 동일한 위치를 계측할 수 없다 하더라도, 기판 상의 매우 가까운 위치들을 계측하는 경우에도, 동일한 처리를 행할 수 있다. 특히 기판이 노광된 기초 패턴을 갖고 있지 않아 충분히 높은 평탄도를 갖는 경우에는 계측이 충분히 가능하다.
도 14는 노광 영역 내에 3개의 계측점(6B-1 내지 6B-3)이 설정되고, 노광 영역 밖에 l개의 계측점(6B-4)이 설정된 상태를 나타내는 도면이다. 계측점들 사이의 간격을 작게 하여 보다 많은 수의 계측점을 설정함으로써, 모든 샷 폭마다 2개 이상의 계측점에서 기판 상의 동일한 위치(또는 매우 가까운 위치들)를 계측할 수 있다.
전술한 바와 같이, 통상의 노광 시퀀스에 있어서의 샷의 폭은 전사되는 패턴에 따라서 다양하고, 당연히, 노광이 항상 최대 노광 폭에서 행해지는 것은 아니다. 노광 영역의 폭(X 방향으로)이 포커스 센서의 폭보다도 작을 경우에, 싱글 스테이지 구성의 리얼타임 포커싱에서도 Z 방향의 오차 성분을 산출할 수 있다. 예를 들면, 노광 장치에 전원을 투입할 때, 기판 스테이지의 원점 위치를 결정할 때, 또는 노광 처리되는 로트(lot)의 첫 번째 기판에 대하여, Z 방향의 오차 성분을 산출할 수 있다. 이 경우, 최대 노광 폭의 범위를 초과하여 계측점들을 설정할 필요가 없다. 즉, 본 발명에 따른 포커스 계측 방법은 도 14에 도시된 계측점(6B-4)에 의해 예시된 계측점을 필요로 하지 않기 때문에, 코스트 효율적이다. 이 포커스 계측 방법은 거의 모든 노광 장치에 대하여 가능하다. 그러나, 본 발명에 따른 포 커스 계측 방법은 모든 종류의 샷들의 노광에 적용되지는 않고, 포커스 센서보다 작은 폭을 갖는 샷의 노광에 엄격히 한정된다. 이하의 포커스 계측 처리들은 상기와 동일하므로, 그의 설명은 생략한다.
X 방향으로의 Z 바 미러는 상기한 방식으로 조정될 수 있다. Y 방향으로는 통상적으로 먼저읽기 센서들이 병렬 배치되어 있으므로, Y 방향으로 포커스 계측을 위한 계측점들을 새로이 설정할 필요는 없다. 통상의 노광 시퀀스 중에 동일한 위치를 다른 계측점들에서 계측함으로써 Z 방향의 오차 성분을 산출할 수 있다. 즉, 사전에 계측점들(6A-1 내지 6A-3)에서 포커스 센서들에 의해 계측된 기판 상의 위치들이, Y 방향으로 스캔되고, 스캔된 위치들이 계측점들(6B-1 내지 6B-3)에서 포커스 센서들에 의해 계측된다면, 상기와 같은 방법이 이용 가능하다.
웨이퍼가 정지해 있는 동안 노광이 행해지는 경우에도 스캔 노광 장치의 X방향에서와 동일한 것이 적용된다. 즉, 최대 노광 폭보다 X 및 Y 방향으로 넓은 범위에 계측점들을 설정하거나,계측점들이 설정되어 있는 범위보다 X 또는 Y 방향으로 작은 영역을 노광하면 된다.
상기 방식으로 Z 바 미러의 형상이 산출된 후의 처리들은 트윈 스테이지 구성에서와 정확히 동일하다. Z(X)의 값이 미리 설정된 임계값을 초과한 후에, 다시 Z-X 바 미러를 계측할 수도 있다. 여기에서 얻어진 Z(X)에 대한 데이터를 Z-X 바 미러의 보정 함수에 가산할 수도 있다.
계측 시기는 각 샷의 전일 수도 있고, 기초 패턴을 갖지 않는 기판에 대해서만 본 발명에서 제안된 계측 방법을 이용할 수도 있다. 본 발명에 따른 계측 방법 은 미리 설정된 매수의 기판이 처리될 때마다 또는 미리 설정된 시간이 경과할 때마다 처리될 수 있다. 또는, 본 발명에 따른 계측 방법은 전원을 투입한 직후에 항상 수행될 수도 있다.
[노광 장치의 설명]
이하, 본 발명이 적용되는 예시적인 싱글 스테이지 노광 장치를 설명한다. 노광 장치는, 도 15에 도시한 바와 같이, 조명 장치(101), 레티클을 탑재한 레티클 스테이지(102), 투영 광학계(103), 및 기판을 유지하는 기판 스테이지(1)를 포함한다. 전술한 바와 같이, 기판 스테이지(1)는 구동 기구(도시되지 않음)에 의해 조작되면서 Y 방향으로 이동하고, X 방향으로 스텝 이동한다. 노광 장치는 레티클 상에 형성된 회로 패턴을 기판에 투영해서 기판을 주사 노광한다.
조명 장치(101)는 회로 패턴이 형성된 레티클을 조명하고, 광원부와 조명 광학계를 포함한다. 광원부는, 예를 들면, 광원으로서 레이저를 사용한다. 레이저는, 예를 들면, 약 193 nm의 파장을 갖는 ArF 엑시머 레이저, 약 248 nm의 파장을 갖는 KrF 엑시머 레이저, 또는 약 153 nm의 파장을 갖는 F2 엑시머 레이저일 수 있다. 그러나, 레이저의 종류는 엑시머 레이저에 한정되지 않고, 예를 들면, YAG 레이저일 수도 있고, 레이저의 개수도 한정되지 않는다. 광원으로서 레이저가 사용될 경우, 레이저 광원으로부터의 평행 광속(collimated light beam)을 원하는 빔 형상으로 정형하는 광학계, 및 코히런트(coherent)한 레이저 광속을 인코히런트(incoherent)한 레이저 광속으로 변환하는 광학계가 사용될 수 있다. 또한, 광 원부에 사용될 수 있는 광원은 레이저에 한정되는 것이 아니라, 1개 또는 복수의 수은 램프나 크세논 램프가 사용될 수 있다. 조명 광학계는 마스크를 조명하는 광학계이며, 예를 들면, 렌즈, 미러, 라이트 인터그레이터(light integrator), 및 조리개(stop)를 포함한다.
투영 광학계(103)는, 예를 들면, 복수의 렌즈 소자만을 포함하는 광학계, 복수의 렌즈 소자 및 적어도 하나의 요면경(concave mirror)을 포함하는 광학계, 복수의 렌즈 소자 및 적어도 하나의 키노폼(kinoform) 등의 회절 광학 소자를 포함하는 광학계, 또는 미러들만을 포함하는 광학계일 수 있다.
레티클 스테이지(102) 및 기판 스테이지(1)는, 예를 들면, 리니어 모터에 의해 이동할 수 있다. 스테이지들(102 및 1)은 동기해서 이동한다. 레티클 패턴을 기판에 정렬하기 위해서 기판 스테이지(1) 및 레티클 스테이지(102)에 액튜에이터(구동 기구; 도시되지 않음)들이 제공된다.
다음으로, 전술한 노광 장치를 이용한 반도체 집적 회로 소자 및 액정 표시 소자 등의 디바이스들을 제조하는 예시적인 방법을 설명한다.
디바이스들은 전술한 노광 장치를 이용해서 기판을 노광하는 노광 공정, 상기 노광 공정에서 노광된 상기 기판을 현상하는 현상 공정, 상기 현상 공정에서 현상된 기판을 가공하는 다른 주지의 공정에 의해 제조된다. 다른 주지의 공정은, 예를 들면, 에칭, 레지스트 박리, 다이싱, 본딩, 및 패키징 공정들을 포함한다.
예시적인 실시예들을 참조하여 본 발명을 설명하였지만, 본 발명은 개시된 예시적인 실시예들에 한정되지 않는다는 것을 이해해야 한다. 다음의 청구항들의 범위는 모든 그러한 변형들 및 등가의 구조들 및 기능들을 포함하도록 최광의로 해석되어야 한다.
도 1은 기판 스테이지의 기울기와 관련된 계측을 가능하게 하는 기판 스테이지 구성을 나타내는 도면이다.
도 2는 Z 레이저 간섭계의 구성의 일례를 도시하는 도면이다.
도 3은 Z 레이저 간섭계의 구성의 다른 예를 도시하는 도면이다.
도 4는 스테이지 정반 상에 기준 스테이지를 탑재한 구성의 일례를 도시하는 도면이다.
도 5는 복수의 포커스 센서에 의해, 스테이지 위치에 의존하는, Z 오차를 계측하는 방법을 나타내는 도면이다.
도 6은 싱글 스테이지 구성에 있어서의 포커스 센서들 및 OAS의 구성을 나타내는 도면이다.
도 7은 트윈 스테이지 구성에 있어서의 포커스 센서들 및 OAS의 구성을 나타내는 도면이다.
도 8은 트윈 스테이지 구성에서 기판 전체면을 사전에 계측하는 상태를 나타내는 도면이다.
도 9는 싱글 스테이지 구성에서 포커스 계측 영역 밖에 포커스 센서를 배치한 구성을 나타내는 도면이다.
도 10은 트윈 스테이지 구성에서 포커스 계측 영역 밖에 포커스 센서를 배치한 구성을 나타내는 도면이다.
도 11은 트윈 스테이지 구성에서 인접한 포커스 계측 영역들을 계측하는 상 태를 나타내는 도면이다.
도 12는 트윈 스테이지 구성에서 포커스 센서들을 X 방향으로 병렬 배치한 구성을 나타내는 도면이다.
도 13은 싱글 스테이지 구성에서 인접한 포커스 계측 영역들을 계측하는 상태를 나타내는 도면이다.
도 14는 싱글 스테이지 노광 장치를 설명하는 도면이다.
도 15는 노광 장치의 일례를 도시하는 도면이다.
<도면의 주요 부분에 대한 부호의 설명>
2A: 바 미러
4A~4F: 바 미러
6A-1~6A-4, 6B-1~6B-4, 6C-1~6C-4: 계측점
7-1~7-6: 계측점
101: 조명 장치
102: 레티클 스테이지
103: 투영 광학계

Claims (10)

  1. 투영 광학계를 통해서 레티클의 패턴을 기판에 투영해서 상기 기판을 주사 노광하는 노광 장치로서,
    상기 기판을 유지하는 기판 스테이지를 상기 투영 광학계의 광축 방향과 직교하는 제1 방향으로 스캔하고, 상기 광축 방향 및 상기 제1 방향과 직교하는 제2 방향으로 상기 기판 스테이지를 스텝 이동시키는 구동 기구;
    상기 구동 기구가 상기 기판 스테이지를 상기 제1 방향으로 스캔할 때 상기 투영 광학계의 광축 방향에 있어서의 상기 기판 스테이지의 위치를 계측하는 제1 계측기;
    상기 기판 상에서 상기 제2 방향으로 연장되는 하나의 직선 상의 복수의 계측점에서 상기 투영 광학계의 광축 방향에 있어서의 상기 기판의 표면 위치들을 계측하는 제2 계측기; 및
    제어기를 포함하고,
    상기 제어기는, 상기 기판 스테이지가 상기 제2 방향으로 스텝 이동하기 전과 후의 쌍방에 있어서 상기 기판 상의 적어도 하나의 동일한 영역을 상기 복수의 계측점 중의 서로 다른 계측점들에서 계측하도록 상기 제2 계측기를 제어하고, 상기 제2 계측기에 의해 얻어진 계측 결과에 기초하여 상기 기판 스테이지의 상기 제2 방향으로의 구동에 기인하는 상기 제1 계측기의 계측 오차를 산출하는 노광 장치.
  2. 제1항에 있어서, 상기 제어기는, 상기 제1 계측기의 계측 오차를 산출하는 모드에서, 상기 제2 계측기가 상기 기판 상의 적어도 하나의 동일한 영역을 서로 다른 계측점들에서 계측할 수 있도록, 상기 기판 스테이지가 상기 제2 방향으로 스텝 이동하는 폭을 감소시키는 노광 장치.
  3. 제1항에 있어서, 상기 제어기는 상기 산출한 상기 제1 계측기의 계측 오차를 이용해서 상기 제1 계측기에 의해 얻어진 계측 결과를 보정하는 노광 장치.
  4. 제1항에 있어서, 상기 제1 계측기는 바 미러(bar mirror) 및 간섭계를 포함하고, 상기 제어기는 상기 산출한 상기 제1 계측기의 계측 오차에 기초하여 상기 바 미러를 계측하는 시기를 결정하는 노광 장치.
  5. 제1항에 있어서, 상기 제2 계측기는, 상기 기판 상의 적어도 하나의 동일한 영역에 있어서의 서로 다른 계측점들에서의 상기 계측들을, 상기 노광 장치의 전원을 투입할 때, 상기 기판 스테이지의 원점 위치를 결정할 때, 또는 노광 처리되는 로트의 첫 번째 기판이 상기 기판 스테이지에 탑재될 때에 행하는 노광 장치.
  6. 제1항에 있어서, 상기 제2 계측기는, 상기 기판 상의 적어도 하나의 동일한 영역에 있어서의 서로 다른 계측점들에서의 상기 계측들을, 미리 정해진 매수의 기 판이 처리될 때마다, 또는 미리 정해진 시간이 경과할 때마다 행하는 노광 장치.
  7. 제1항에 있어서, 상기 제2 계측기는, 상기 기판 상의 적어도 하나의 동일한 영역에 있어서의 서로 다른 계측점들에서의 상기 계측들을, 기초 패턴(underlying pattern)을 갖지 않는 기판에 대하여 행하는 노광 장치.
  8. 제1항에 있어서, 상기 노광 장치는, 상기 투영 광학계를 통해서 상기 기판을 노광하는 노광 영역, 상기 기판을 계측하는 계측 영역, 및 상기 노광 영역과 상기 계측 영역 사이에서 이동할 수 있는 복수의 기판 스테이지를 포함하고, 상기 계측 영역에서 계측된 상기 기판을 상기 계측 영역에서 얻어진 계측 결과에 따라서 위치 결정하면서 상기 노광 영역에서 노광하고,
    상기 제1 계측기와 상기 제2 계측기는 상기 계측 영역에 위치 결정되는 노광 장치.
  9. 제1항에 있어서, 상기 노광 장치는, 상기 기판을 상기 제1 계측기에 의해 얻어진 계측 결과에 기초하여 위치 결정하면서 노광하는 노광 장치.
  10. 디바이스를 제조하는 방법으로서,
    제1항 내지 제9항 중 어느 한 항에서 정의된 노광 장치를 이용해서 기판을 노광하는 공정;
    상기 노광된 기판을 현상하는 공정; 및
    상기 현상된 기판을 가공하여 상기 디바이스를 제조하는 공정
    을 포함하는 디바이스 제조 방법.
KR1020090050948A 2008-06-09 2009-06-09 노광 장치 및 디바이스 제조 방법 KR101124730B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2008-150836 2008-06-09
JP2008150836A JP2009295932A (ja) 2008-06-09 2008-06-09 露光装置及びデバイス製造方法

Publications (2)

Publication Number Publication Date
KR20090127832A true KR20090127832A (ko) 2009-12-14
KR101124730B1 KR101124730B1 (ko) 2012-04-13

Family

ID=41400630

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090050948A KR101124730B1 (ko) 2008-06-09 2009-06-09 노광 장치 및 디바이스 제조 방법

Country Status (4)

Country Link
US (1) US7884918B2 (ko)
JP (1) JP2009295932A (ko)
KR (1) KR101124730B1 (ko)
TW (1) TW201007373A (ko)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
NL2009197A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv System for detection motion, lithographic apparatus and device manufacturing method.
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN103309167B (zh) * 2012-03-09 2015-06-17 上海微电子装备有限公司 运动台定位精度的测量系统及测量方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
JP6066610B2 (ja) * 2012-07-31 2017-01-25 キヤノン株式会社 露光方法、露光装置及びデバイス製造方法
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6082471B2 (ja) * 2012-10-24 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. オブジェクト位置決めシステム、リソグラフィ装置、およびデバイス製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017021299A1 (en) * 2015-08-04 2017-02-09 Asml Netherlands B.V. Position measurement system, interferometer and lithographic apparatus
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110823922A (zh) * 2018-08-10 2020-02-21 鸿富锦精密电子(天津)有限公司 外观检测装置
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
JP7475185B2 (ja) 2020-04-10 2024-04-26 キヤノン株式会社 計測方法、インプリント装置及び物品の製造方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115398133A (zh) * 2020-06-30 2022-11-25 Ev 集团 E·索尔纳有限责任公司 用于对准基板的装置和方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3282751B2 (ja) 1993-07-14 2002-05-20 株式会社ニコン 走査型露光装置、及び該装置を用いる素子製造方法
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH11168050A (ja) 1997-12-04 1999-06-22 Nikon Corp 露光方法及び装置
JP4261689B2 (ja) 1999-07-01 2009-04-30 キヤノン株式会社 露光装置、当該露光装置に対して用いられる方法、及び当該露光装置を用いたデバイスの製造方法
SG103303A1 (en) * 2000-07-07 2004-04-29 Nikon Corp Exposure apparatus, surface position adjustment unit, mask, and device manufacturing method
JP3890233B2 (ja) * 2002-01-07 2007-03-07 キヤノン株式会社 位置決めステージ装置、露光装置及び半導体デバイスの製造方法
JP2005252246A (ja) * 2004-02-04 2005-09-15 Nikon Corp 露光装置及び方法、位置制御方法、並びにデバイス製造方法
US20060139595A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and method for determining Z position errors/variations and substrate table flatness
JP4315455B2 (ja) * 2006-04-04 2009-08-19 キヤノン株式会社 露光装置及びデバイス製造方法

Also Published As

Publication number Publication date
JP2009295932A (ja) 2009-12-17
TW201007373A (en) 2010-02-16
US20090305176A1 (en) 2009-12-10
US7884918B2 (en) 2011-02-08
KR101124730B1 (ko) 2012-04-13

Similar Documents

Publication Publication Date Title
KR101124730B1 (ko) 노광 장치 및 디바이스 제조 방법
US8098362B2 (en) Detection device, movable body apparatus, pattern formation apparatus and pattern formation method, exposure apparatus and exposure method, and device manufacturing method
US7701553B2 (en) Surface level detection method, exposure apparatus, and device manufacturing method
KR100933596B1 (ko) 위치 결정 장치
US20110273686A1 (en) Exposure apparatus, exposure method, and method of manufacturing device
KR20130055023A (ko) 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 패턴 형성 장치, 노광 방법 및 노광 장치, 그리고 디바이스 제조 방법
US9639008B2 (en) Lithography apparatus, and article manufacturing method
JP4434372B2 (ja) 投影露光装置およびデバイス製造方法
JP2007250947A (ja) 露光装置および像面検出方法
JP2008021748A (ja) 露光装置
US7990519B2 (en) Exposure apparatus and device manufacturing method
JP2006279029A (ja) 露光方法及び装置
US20040179180A1 (en) Exposure apparatus, exposure method, and device manufacturing method
US7852458B2 (en) Exposure apparatus
JP2010087310A (ja) 露光装置およびデバイス製造方法
KR20090039641A (ko) 노광 장치 및 디바이스 제조 방법
US20090310108A1 (en) Exposure apparatus and method of manufacturing device
JP2002328007A (ja) ステージ位置計測方法、露光方法及びその装置、並びにデバイス製造方法
KR102558072B1 (ko) 노광 장치, 플랫 패널 디스플레이의 제조 방법, 디바이스 제조 방법, 및 노광 방법
JP2010192744A (ja) 露光装置、露光方法、及びデバイス製造方法
JP4174324B2 (ja) 露光方法及び装置
JP2010258085A (ja) 面位置検出方法
US7710543B2 (en) Scanning exposure apparatus and device manufacturing method
US8120750B2 (en) Exposure apparatus and method of manufacturing device
JP2011258922A (ja) 露光装置及び露光方法、並びにデバイス製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160121

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170125

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180125

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee