CN100372076C - 被处理体的处理方法及处理装置 - Google Patents

被处理体的处理方法及处理装置 Download PDF

Info

Publication number
CN100372076C
CN100372076C CNB01822329XA CN01822329A CN100372076C CN 100372076 C CN100372076 C CN 100372076C CN B01822329X A CNB01822329X A CN B01822329XA CN 01822329 A CN01822329 A CN 01822329A CN 100372076 C CN100372076 C CN 100372076C
Authority
CN
China
Prior art keywords
gas
wafer
reative cell
reaction tube
organic substance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB01822329XA
Other languages
English (en)
Other versions
CN1502120A (zh
Inventor
菱屋晋吾
古泽纯和
梅泽好太
林輝幸
齐藤美佐子
佐藤昌一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000370023A external-priority patent/JP4626912B2/ja
Priority claimed from JP2001026233A external-priority patent/JP4607347B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1502120A publication Critical patent/CN1502120A/zh
Application granted granted Critical
Publication of CN100372076C publication Critical patent/CN100372076C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

热处理装置(1)具有:具有升温用加热器(12)的、容放附着有有机物的晶片(10)的反应管(2);向反应管(2)内供给氧气的第1气体导入管(13);供给氢气的第2气体导入管(14)。分别通过第1气体导入管(13)和第2气体导入管(14)向反应管(2)内供给氧气和氢气,通过升温用加热器(12)将反应管(2)加热到可使氧气和氢气活化的温度。于是,在反应管(2)内发生燃烧反应,使附着在晶片(10)上的有机物氧化、分解,从而将其除去。

Description

被处理体的处理方法及处理装置
技术领域
本发明涉及半导体晶片等被处理体的处理方法及处理装置。具体地说,涉及将附着在被处理体上的有机物除去的方法及装置,以及,在有机物被除去的被处理体上进而形成薄膜的方法及装置。
背景技术
在半导体器件的制造工艺中,例如通过CVD(Chemical VaporDeposition)等处理,在被处理体例如晶片(以下称作“晶片”)上形成多晶硅膜、氧化硅膜等薄膜的做法得到广泛采用。
为了防止污染物质附着到晶片上,上述形成薄膜的工序,一般是在净化间内进行的。但是,即使是净化间,要将污染物质完全去除是困难的,因而会存在例如磷酸三丁酯(Tri Butyl Phosphate:TPB)、硅氧烷、邻苯二甲酸二辛酯(dioctyl phyhalate:DOP)等微量有机物(污染物质),这类有机物有时会附着到晶片上。若在附着有这类有机物的状态下在晶片上形成薄膜,则会发生半导体器件的特性变差、材料利用率降低等问题。为此,在晶片上形成薄膜之前,要进行将附着在晶片上的有机物除去的晶片清洁处理。
晶片的清洁,例如使用图9所示的处理装置进行。首先,将晶片53放置在处理装置51内的放置台52上。其次,利用设在放置台52内的加热器54,将处理装置51内即晶片53加热到既定温度例如600℃。接着,通过导入口55向处理装置51内供给处理气体例如二氧化碳。所供给的二氧化碳,在晶片53附近热分解而产生氧原子自由基(O*),将附着在晶片53表面的有机物分解。之后,将该分解的有机物经排气口56排放到处理装置51之外。经以上处理,可使晶片53变得清洁。
但是,随着半导体器件的高度集成化,器件图案越来越微细,附着在晶片53上的有机物量的允许范围越来越窄,人们希望能够进一步提高除去有机物的效率。
此外,按照上述清洁方法,为了生成氧原子自由基,必须将处理装置51内(晶片53)加热到例如600℃那样的高温。这一点从防止晶片热氧化来说是所不希望的。
再有,按照上述清洁方法,是对晶片53一片一片进行清洁的,因此若要清洁许多晶片53,进行清洁所耗费的时间将很长。
加之,按照上述清洁方法,为了在清洁后的晶片53上形成薄膜而将晶片53向薄膜形成装置进行输送期间,有可能使晶片53上附着新的有机物。
发明内容
本发明是针对上述问题而提出的,其目的是,提供一种可使除去附着在被处理体上的有机物的效率得到提高的被处理体的处理方法及处理装置。
本发明的另一个目的是,提供一种能够在较低温度下高效率除去附着在被处理体上的有机物的处理方法及处理装置。
本发明的另一个目的是,提供一种能够以较短时间除去附着在多片被处理体上的有机物的处理方法及处理装置。
本发明的另一个目的是,提供一种在有机物被除去的被处理体上进而形成薄膜的处理方法及处理装置。
本发明的另一个目的是,提供一种能够通过同一个装置进行有机物的去除和薄膜的形成的处理方法及处理装置。
为实现上述目的,根据本发明的第1方面,提供一种被处理体的处理方法,其特征是,包括:将附着有有机物的被处理体放入反应室的工序;以及,将所述反应室加热到既定温度并供给处理气体从而将所述有机物从所述被处理体上除去的工序;所述处理方法以不会使得供给到所述反应室的处理气体在所述反应室内等离子化的方式来实施,所述处理气体含有氧化性气体和还原性气体,在将所述反应室减压到压力为133Pa~399Pa的状态下通过将所述反应室的温度加热到可使所述氧化性气体和所述还原性气体活化的至少350℃,使得所述氧化性气体和还原性气体发生反应而产生活性氧和活性羟基,通过这些活性物从所述被处理体中除去所述有机物。
按照这种方法,向容放附着有有机物的被处理体的反应室供给含有氧化性气体和还原性气体的处理气体。并且,在反应室内发生燃烧反应,生成活性氧(O*)和活性羟基(OH*)。以该活性氧和活性羟基使附着在被处理体上的有机物氧化、分解,从被处理体上将有机物除去。
所说氧化性气体中,例如含有从O2、N2O、NO气体组中所选择的至少一种气体。而所说还原性气体中,例如含有从H2、NH3、CH4气体组中所选择的至少一种气体。
在上述方法中,将所说反应室的温度至少加热到350℃。即使反应室的温度降低到该低于现有技术的温度,仍能够将附着在被处理体上的有机物除去。
在上述方法中,将所说反应室内的压力设定为133Pa~399Pa。通过将反应室内设定成该较低的压力,可向被处理体均匀地供给处理气体。
所说反应室内,也可以放入(容放)多个附着有所说有机物的被处理体。这样,进行一次处理便可将多个被处理体上所附着的有机物除去,可以缩短除去有机物所需要的时间。
本发明的第2方面,提供一种被处理体的处理装置,其特征是,包括:具有可设定成既定温度的加热部的、容放被处理体的反应室;向所述反应室内供给含有氧化性气体和还原性气体的处理气体的处理气体供给机构;排放所述反应室内的气体的排气机构;通过所述排气机构使得所述反应室内压力为133Pa~399Pa的减压状态的同时,通过所述加热部将所述反应室加热到可使所述氧化性气体和所述还原性气体活化的至少350℃的温度,由此使得所述氧化性气体和还原性气体发生反应而产生活性氧和活性羟基的控制机构,不具有使得供给到所述反应室的处理气体在所述反应室内等离子化的机构。
按照这种装置,通过处理气体供给机构,向容放附着有有机物的被处理体的反应室供给含有氧化性气体和还原性气体的处理气体。此外,通过受控制机构控制的加热部,将反应室加热到可使氧化性气体和还原性气体活化的温度。并且,在反应室内发生燃烧反应,生成活性氧和活性羟基。以该活性氧和活性羟基使附着在被处理体上的有机物氧化、分解,从被处理体上将有机物除去。
所说氧化性气体中,例如含有从O2、N2O、NO气体组中所选择的至少一种气体。而所说还原性气体中,例如含有从H2、NH3、CH4气体组中所选择的至少一种气体。
在上述装置中,所说控制机构令所说加热部将所说反应室的温度至少加热到350℃。即使反应室的温度降低到该低于现有技术的温度,仍能够将附着在被处理体上的有机物除去。
在上述装置中,所说控制机构令所说排气机构排放所说反应室内的气体,使得所说反应室内的压力维持133Pa~399Pa。通过将反应室内设定成该较低的压力,可向被处理体均匀地供给处理气体。
最好是,所说反应室具有可容放多个所说被处理体的被处理体容放部,所说控制机构向所说被处理体容放部供给所说处理气体,将所说多个被处理体上所附着的有机物除去。这样,进行一次处理便可将多个被处理体上所附着的有机物除去,可以缩短除去有机物所需要的时间。
附图说明
图1是对根据本发明的处理装置的第1实施形式加以展示的简要图。
图2是对通过图1所示处理装置实施的清洁步骤进行说明的时序图。
图3是表1所示各清洁条件下有机物附着量(接触角)的条形图。
图4是对根据本发明的处理装置的第2实施形式加以展示的简要图。
图5是对通过图4所示处理装置实施的清洁步骤进行说明的时序图。
图6是表2所示各清洁条件下有机物附着量的条形图。
图7是对根据本发明的处理装置的第3实施形式加以展示的简要图。
图8是对通过图7所示处理装置实施的清洁步骤及薄膜形成步骤进行说明的时序图。
图9是现有处理装置的示意图。
具体实施方式
下面,结合附图对本发明的最佳实施形式进行说明。
〔第1实施形式〕
首先,对本发明的第1实施形式举例进行说明,该例中,使用图1所示批量式立式热处理装置,将附着在半导体晶片(以下称作“晶片”)即被处理体上的有机物分解、除去(清洁)。
如图1所示,热处理装置1,具有其长度顺沿于垂直方向的大体为圆筒形的反应管2。反应管2,具有由,其内部构成成膜区域的内管3、以及、将内管3罩起来并与内管3之间有一定间隔而形成的有顶的外管4,所构成的双层管结构。内管3和外管4,由耐热材料例如石英形成。
在外管4的下方,设置有呈筒状形成的不锈钢(SUS)制成的歧管5。歧管5,与外管4的下端气密连接。内管3,得到从歧管5的内壁突出并与歧管5一体形成的支撑环6的支持。
在歧管5的下方设置有盖体7,通过舟(ボ一ト)升降器8可使盖体7上下移动。并且,在盖体7上升后,可将歧管5的下方封闭。
盖体7上,放置有由石英制成的晶片舟9。在晶片舟9中,在垂直方向上隔开既定间隔放置有多片晶片10。
在反应管2的周围,设有将反应管2围起来的绝热体11,在其内壁上,设有由电阻发热体构成的升温用加热器12。并且,通过使升温用加热器12工作,将反应管2内设定在既定温度上。
在歧管5的侧面,插入有多个气体导入管。在本实施形式中,第1气体导入管13和第2气体导入管14两根管从歧管5的侧面插入。
第1气体导入管13伸入内管3内。如图1所示,第1气体导入管13从支撑环6的下方(内管3的下方)插入歧管5的侧面。并且,通过第1气体导入管13将例如氧气(O2)那样的氧化性气体导入内管3内。
第2气体导入管14伸入内管3内。与第1气体导入管13同样,从支撑环6的下方(内管3的下方)插入歧管5的侧面。并且,通过第2气体导入管14将例如氢气(H2)那样的还原性气体导入内管3内。
在歧管5的侧面设有排出口15。排出  15设在支撑环6的上方,与反应管2内的内管3与外管4之间所形成的空间相连通。并且,向内管3内通过第1气体导入管13供给氧气、通过第2气体导入管14供给还原性气体以进行清洁,经过清洁而分解的有机物经由内管3与外管4之间向排出口15排放。此外,在歧管5侧面的排出口15的下方,插入有作为净化气体供给氮气的净化气体供给管16。
排出口15上,有排气管17与之气密连接。排气管17之中装有阀18和真空泵19。阀18,用来调整排气管17的开度以将反应管2内的压力控制在既定压力上。真空泵19,在通过排气管17排放反应管2内的气体的同时调整反应管2内的压力。
控制部20与舟升降器8、升温用加热器12、第1气体导入管13、第2气体导入管14、净化气体供给管16、阀18以及真空泵19相连接。控制部20,由微处理器、过程控制器等构成,对热处理装置1各部的温度、压力等进行检测,并依据检测数据向上述各部输出控制信号,从而对热处理装置1的各部进行控制。
下面,就使用热处理装置1、对附着在晶片10上的有机物以含有氧气和氢气的处理气体进行清除的处理方法,结合图2所示的时序(时间顺序)进行说明。在以下的说明中,构成热处理装置1的各部的动作受控制部20的控制。
首先,在盖体7落下的状况下,将容放附着有有机物的晶片10的晶片舟9放置在盖体7上。并且,通过升温用加热器12将反应管2内的温度设定在既定的装载温度上。
然后,通过舟升降器8使盖体7上升,将放置有晶片10的晶片舟9装载到反应管2的内管3内。于是,在晶片10放入反应管2内的同时反应管2被密闭。此外,通过净化气体供给管16向反应管2内供给既定量的氮气,将混入于反应管2内的有机物等的污染物质排出(装载工序)。
接着,开始对反应管2内进行减压。具体地说,在通过净化气体供给管16向反应管2内供给既定量的氮气的同时,对阀18的开度进行控制并驱动真空泵19而将反应管2内的气体排出。反应管2内气体的排放,一直进行到反应管2内的压力从常压变为既定压力例如133Pa~399Pa(1Torr~3Torr)为止。
此外,通过升温用加热器12将反应管2内加热到可使氧化性气体(氧气)和还原性气体(氢气)活化的温度的350℃以上。这是由于,反应管2的温度若低于350℃,将无法使氧气和氢气活化的缘故。但是,若反应管2的温度过高,晶片10的表面将被氧化,因此,将反应管2的温度加热到350℃~600℃为宜,最好是加热到350℃~400℃。并且,该减压与加热操作一直进行到反应管2内稳定在既定的压力和温度上为止(稳定化工序)。
在反应管2内稳定在既定的压力和温度上后,停止通过净化气体供给管16供给氮气。并且,向反应管2的内管3内,通过第1气体导入管13以既定流量、例如1.8升/min供给氧气,并通过第2气体导入管14以既定流量、例如0.9升/min供给氢气。
在反应管2内,一旦送入氧气和氢气,氧气和氢气便活化,在内管3中进行以下氢的燃烧反应。
H2+O2→H*+HO2
H2+OH*→H*+H2O
O2+H*→OH*+O*
H2+O*→H*+OH*
如上所述,在氢的燃烧反应过程中,产生O*(活性氧)和OH*(活性羟基),通过它们使附着在晶片10上的有机物分解,从晶片10上将有机物除去(清洁工序)。被除去的有机物,经排出口15吸入排气管17中,排放到反应管2之外。
在这里,由于反应管2内维持133Pa~399Pa(1Torr~3Torr)这样低的压力,因此,对于放入晶片舟9内的所有晶片10,能够均匀地供给O*、OH*
从晶片10上将有机物除去之后,停止通过第1气体导入管13和第2气体导入管14供给处理气体(氧气、氢气)。并且,在对阀18的开度进行控制并驱动真空泵19而将反应管2内的气体排出之后,通过净化气体供给管16供给既定量的氮气,将反应管2内的气体向排气管17排放(净化工序)。为了能够切实排放反应管2内的气体,最好反复进行多次反应管2内气体的排放和氮气的供给。
最后,通过净化气体供给管16供给既定量的氮气,使反应管2内恢复常压(760Torr),将对晶片10进行保持的晶片舟9从反应管2中卸载(卸载工序)。
在这里,对附着有有机物的晶片10的样本,在不同条件下进行了清洁。在晶片10上形成1000埃厚度的氧化膜,对该氧化膜的表面以稀释的氟化氢(DHF)清洗1分钟之后,在净化间内放置既定时间,制作出附着有有机物的晶片10的样本。
对有机物的附着量采用接触角法进行测定。接触角法,是使纯水滴落到晶片10上,对该纯水的液滴的接触角进行测定的方法。晶片10上附着的有机物越多,其疏水性越高、接触角越大。反之,随着有机物附着量的减少,亲水性提高、接触角变小。
在这里,对晶片10的5个点测定其接触角,求取其平均值。所制作的样本的接触角为57°。即使纯水滴落在有机物已完全除去的晶片10上,纯水的液滴的接触角也不会是0°,而且在低角度下要进行严谨的测定是困难的,因而可以认为,接触角在2°以下的晶片10,有机物已基本上完全除去。
表1
    温度(℃)     压力(帕)     时间(分)   处理片数(片)     接触角(deg)
实施例1     350     133     30     3     1.2
实施例2     400     133     30     3     1.2
实施例3     350     200     30     3     1.2
实施例4     350     266     30     3     1.4
实施例5     350     399     30     3     1.8
实施例6     350     200     10     3     1.3
实施例7     350     200     1     3     1.3
实施例8     350     200     30     100     1.3
对比例1     300     133     30     3     56.5
对比例2     330     133     30     3     53
未处理     -     -     -     -     57
表1示出进行清洁的条件。如表1所示,改变反应管2的温度(实施例1、实施例2、对比例1、对比例2)、反应管2的压力(实施例3~5)、清洁时间(实施例6、7)等条件进行清洁,以分析温度、压力及时间对清洁效果的影响。在这里,为使实验简便易行,除了实施例8之外,只在晶片舟9的上部、中部、下部三处各放一片(合计3片)晶片10进行试验,将放置在各部的晶片10的接触角的平均值作为该例的接触角。而晶片10的片数增多时的清洁效果,通过实施例8得到了确认。其结果示于表1和图3。图3中,对各例以条形图示出清洁后液滴的接触角。另外,作为参考,在表1和图3中还示出未进行清洁处理时的接触角。
由表1及图3的实施例1、实施例2可以确认,当反应管2的温度为350℃、400℃时,可将附着在晶片10上的有机物基本上完全除去。而如表1及图4的对比例1、对比例2所示,当反应管2的温度为300℃、330℃时,无法将附着在晶片10上的有机物除去。这是由于,若反应管2的温度低于350℃,氧气和氢气不能被活化而无法生成O*、OH*,因而不能将有机物分解的缘故。虽然反应管2的温度高于350℃也能够将附着在晶片10上的有机物除去,但若反应管2的温度过高,将使晶片10的表面氧化。因此,反应管2的温度以350℃~600℃为宜,最好为350℃~400℃。
由表1及图3的实施例1、实施例3~实施例5可以确认,当反应管2的压力为133Pa~399Pa时,可将附着在晶片10上的有机物基本上完全除去。若反应管2的压力超过399Pa,则有可能无法向放入晶片舟9内的所有晶片10均匀地供给O*、OH*,因此,反应管2的压力以133Pa~399Pa为宜。
由表1及图3的实施例1、实施例6、实施例7可以确认,当清洁时间为1分钟~30分钟时,可将附着在晶片10上的有机物基本上完全除去。若清洁时间少于1分钟,则可能无法将附着在晶片10上的有机物基本上完全除去,若清洁时间长于30分钟,则不能高效率地清洁晶片10。因此,清洁时间以1分钟~30分钟为宜。但是,也可以根据附着在晶片10上有机物附着量的多少,将该时间进一步延长或缩短。
由表1及图3的实施例1、实施例8可以确认,即使晶片舟9内的晶片10的片数从3片增加到100片,也不会影响除去附着在晶片10上的有机物的效果。这是由于反应管2内维持较低压力的缘故。因此,即使晶片舟9内的晶片10的片数增加到例如100片,反应管2的压力、清洁时间也表现出相同的倾向。
一般认为,以接触角法进行的测定,容易受到纯水所滴落到的平面(晶片10)的表面状态的影响,若因进行清洁晶片10的表面形状发生变化,则将无法准确测定有机物的附着量。为此,对清洁前后的晶片10的表面形状进行了确认。由该结果确认,晶片10的表面形状在清洁前后几乎未发生变化。
如以上所说明的,根据本实施形式,通过分别通过第1气体导入管13和第2气体导入管14将氧气和氢气提供给加热到350℃以上的反应管2内,可将附着在晶片10上的有机物除去。因此,能够以简易的热处理装置1将附着在晶片10上的有机物除去。并且,与现有的清洁方法相比,能够在较低温度下将附着在晶片10上的有机物除去。另外,根据本实施形式,不需要后述以臭氧进行清洁时所必需的紫外线照射装置或等离子体发生器等臭氧发生器,因此,可使热处理装置1的结构变得简单。
根据本实施形式,进行一次清洁,便可将放入晶片舟9内的多片晶片10上所附着的有机物除去。因此,在需要对多片晶片10进行清洁时,能够缩短进行清洁所需要的时间。
下面,就上述实施形式的变化形式进行说明。
在上述实施形式中,氧化性气体使用的是氧气,还原性气体使用的是氢气。但是,作为氧化性气体,也可以使用从O2、N2O、NO中所选择的至少一种气体,而作为还原性气体,也可以使用从H2、NH3、CH4气体组中所选择的至少一种气体。这种场合也同样,可通过如前所述在还原性气体的燃烧过程中所产生的活性氧和活性羟基,将附着在晶片10上的有机物除去。另外,在将氧气和氢气之外的上述气体作为氧化性气体和还原性气体使用的场合也同样,可以通过设置与使用氧气和氢气的场合同样的反应管2的温度、反应管2内的压力等清洁条件,将附着在晶片10上的有机物除去。
在上述实施形式中,是使用具有由内管3和外管4构成的双层管结构的反应管2的热处理装置1将附着在晶片10上的有机物除去的,但也可以使用将图1所示热处理装置1中的内管3和支撑环6拆去后的单管结构的热处理装置。这样,可使热处理装置的结构变得简单。
在上述实施形式中,是使用批量式立式热处理装置1将附着在晶片10上的有机物除去的,但也可以使用单片式热处理装置。这种场合也同样,能够以简易的装置将附着在晶片10上的有机物除去。而且,能够在较低温度下将附着在晶片10上的有机物除去。
此外,第1气体导入管13和第2气体导入管14的数量并不限于各一个,也可以有多个。另外,被处理体并不限于晶片10,例如也可以是玻璃基板。
〔第2实施形式〕
下面,对本发明的第2实施形式举例进行说明,该例中,使用图4所示批量式立式热处理装置,利用含有臭氧的处理气体将附着在晶片(被处理体)上的有机物分解、除去(清洁)。
如图4所示,热处理装置101,具有其长度顺沿于垂直方向的呈有顶圆筒形形成的单管结构的反应管102。反应管102,由耐热材料例如石英形成。
在反应管102的下方,设置有呈筒状形成的不锈钢(SUS)制成的歧管103。歧管103,与反应管102的下端气密连接。
在歧管103的下方设置有盖体104,通过未图示的舟升降器可使盖体104上下移动。由该盖体104、反应管102、歧管103构成处理室103a。并且,当盖体104上升而与歧管103相接触时,歧管103的下方被封闭,将处理室103a密闭。
盖体104上,放置有由石英制成的晶片舟105。晶片舟105中,在垂直方向上隔开既定间隔放有多个被处理体、例如晶片106。放入晶片舟105中的晶片106,是通过将晶片舟105插入反应管102内而放置到处理室103a的内部的,由该晶片106放置区域构成了处理室103a中的处理区域103b。此外,处理区域103b的周围构成了非处理区域。
反应管102,以这样的大小形成,即,当晶片舟105插入反应管102内时,在反应管102的内壁面与放置在晶片舟105上的晶片106的端部之间具有空隙D。为了使处理室103a具有既定的气导,考虑到臭氧的流量、反应管102内部的压力、反应管102的高度等因素,将该空隙D例如设定为20mm~50mm大小。
在反应管102的周围,设有将反应管102围起来的、由电阻发热体构成的升温用加热器107,通过使升温用加热器107工作,将反应管102内设定在既定温度上。
在处理室103a中的处理区域103b之一侧(本实施形式中为处理区域103b的下方)的非处理区域103c中,设置有处理气体供给管108。为了防止配管受到腐蚀,该处理气体供给管108使用的是聚四氟乙烯配管。处理气体供给管108,以其前端部分108a朝向晶片106容放位置、即处理区域103b方向(上方)折弯的弯曲状态形成,前端部分108a中有朝向处理区域103b的处理气体导入部108b。因此,通过处理气体供给管108的处理气体导入部108b供给的含有臭氧的处理气体,朝向反应管102的上方喷出。此外,前端部分108a设置在这样的位置上,即,可将从处理气体供给管108向上方喷出的处理气体,使之从处理区域103b之外(例如与图4所示空隙D相当的空间)通过后,向处理区域103b之另一侧即反应管102的上部供给。
处理气体供给管108连接到臭氧发生器109上。臭氧发生器109,例如由等离子体发生器等构成,以氧为基础生成臭氧。臭氧发生器109上,有氧气供给管111和添加气体供给管112通过净化器110与之相连接。并且,来自氧气供给管111的氧气和来自添加气体供给管112的由氮气或二氧化碳气组成的添加气体供给净化器110,经净化器110达到适合产生臭氧的纯净度(抑制杂质、特别是因水分而发生的腐蚀性气体的发生)后供给臭氧发生器109。
在非处理区域103c中的歧管103一侧,设有排气口113。排气口113,在非处理区域103c中设在与处理气体供给管108相向的位置上,用来排放反应管102内的气体。
排气口113上,有排气管114与之气密连接。在排气管114之中,从其上游侧按顺序装有复合阀115和真空泵16。复合阀115,用来调整排气管114的开度以将反应管102内的压力控制在既定压力上。真空泵116,在通过排气管17排放反应管102内气体的同时调整反应管102内及排气管114内的压力。
在歧管103侧面的排气口113的下方,插入有供给净化气体、例如氮气的净化气体供给管117。
此外,控制部120与臭氧发生器109、净化器110、氧气供给管111、添加气体供给管112、复合阀115、真空泵116及净化气体供给管117相连接。控制部120,由微处理器、过程控制器等构成,对热处理装置101各部的温度、压力等进行检测,并依据检测数据向上述各部输出控制信号,从而对热处理装置101的各部进行控制。
下面,就使用热处理装置处理装置101,将附着在晶片106上的有机物以含有臭氧的处理气体进行清除的处理方法,结合图5所示的时序(时间顺序)进行说明。在以下的说明中,构成热处理装置101的各部的动作受控制部120的控制。
首先,在盖体104落下的状况下,将容放附着有有机物的晶片106的晶片舟105放置在盖体104上。此外,通过升温用加热器107将反应管102(处理室103a)内的温度加热到既定的温度(装载温度)、例如300℃。
然后,通过未图示的舟升降器使盖体104上升,将晶片舟105(晶片106)装载到处理室103a内。于是,在晶片106放入处理室103a内的同时处理室103a被密闭。此外,通过净化气体供给管117向处理室103a内以既定的流量、例如20升/min供给氮气(N2),将混入处理室103a内的有机物等污染物质排出。该氮气的供给进行既定的时间、例如5.5分钟(装载工序)。
接着,开始对处理室103a内进行减压。具体地说,在通过净化气体供给管117向处理室103a内以既定流量、例如20升/min供给氮气的同时,对复合阀115的开度进行控制并驱动真空泵116,将处理室103a内的气体排出。处理室103a内气体的排放,一直进行到处理室103a内的压力从常压变为既定压力、例如13.3Pa~26600Pa(1Torr~200Torr)为止。此外,通过升温用加热器107将处理室103a内加热到既定的温度(清洁温度)、例如300℃~600℃。并且,该减压与加热操作进行既定的时间、例如约17分钟,以使得处理室103a内稳定在既定的压力和温度上(稳定化工序)。
在处理室103a内稳定在既定的压力和温度上后,停止通过净化气体供给管117供给氮气。并且,向净化器110,通过氧气供给管111以既定流量、例如1升/min~10升/min供给氧气,再通过添加气体供给管112以既定流量、例如0.008升/min~0.08升/min供给氮气。所供给的氧气和氮气,通过净化器110达到适合发生臭氧的状态,进入给臭氧发生器109。
在臭氧发生器109中,例如通过未图示的等离子体发生器对所供给的氧气以等离子体进行照射以生成臭氧。并且,将含有既定浓度、例如50g/Nm3~300g/Nm3(2.35vol%~14.1vol%)的臭氧的处理气体,以既定流量、例如约1升/min~10升/min向处理室103a内供给,以使其从臭氧发生器109经由处理气体供给管108(处理气体导入部8b)到达反应管102的顶部(晶片舟105的上部)。处理气体向处理室103a内的供给,例如进行5分钟~30分钟。(清洁工序)。
在这里,对于与处理气体供给管108相连接的臭氧发生器109,除了氧气之外还供给氮气,因此,臭氧发生器109中臭氧的发生效率提高。此外,虽然因供给氮气,使得处理气体中含有NOx,但由于处理气体供给管108使用的聚四氟乙烯配管,故处理气体供给管108不容易被NOx腐蚀。因此,能够消除处理气体供给管108被腐蚀而产生的污染物质混入处理室103a内的可能性。
由于处理室103a内被加热到300℃~600℃,因此,当向处理室103a内供给处理气体时,处理气体中的臭氧被活化而生成氧原子自由基(O*)。并且,含有氧原子自由基的处理气体向反应管102的顶部移动。
在这里,反应管102呈单管结构形成,在反应管102的内壁与晶片106的端部之间设有间隙D,因此,可得到既定大小的排气气导,臭氧不易失去活性(臭氧的活化状态得以维持)。此外,使处理室103a内易于维持低压。再有,前端部分108a,弯曲成能够将处理气体使之经由处理区域103b之外向反应管102的上方供给。因此,可提高处理室103a内的气导,不仅臭氧的活化状态得以维持,而且使处理室103a内易于维持低压。
通过真空泵116的抽吸作用,将到达反应管102的顶部的处理气体,供向处理区域103b。在这里,处理室103a内的压力被维持在13.3Pa~26600Pa(1Torr~200Torr)这样低的程度,因此,能够向处理区域103b均匀地供给处理气体。此外,通过使处理气体暂时到达反应管102的顶部,能够向处理区域103b均匀地供给处理气体。这是由于,处理气体的流速降低,在向处理区域103b供给处理气体时不会受到流速的影响。
再有,由于排气口113是与处理气体供给管108相向设置的,因此,在向处理区域103b供给处理气体时,不容易受到通过处理气体供给管108供给的处理气体的影响,提高了处理室103a内的排气气导。因此,不仅臭氧的活化状态得以维持,而且能够向处理区域103b均匀地供给处理气体。
处理气体被送入处理区域103b时,处理气体中的氧原子自由基可使附着在晶片106上的有机物分解,从晶片106上将有机物除去。被除去的有机物,经排气口113吸入排气管114中,排放到反应管102之外。
在从晶片106上将有机物除去时,停止通过处理气体供给管108供给处理气体(氮气、氧气、臭氧)。并且,在对复合阀115的开度进行控制并驱动真空泵116而将处理室103a内的气体排出之后,通过净化气体供给管117以既定流量、例如10升/min供给氮气,将处理室103a内的气体向排气管114排放。该通过净化气体供给管117进行的氮气的供给,例如进行10分钟(净化工序)。为了能够切实排放处理室103a内的气体,最好反复进行多次处理室103a内气体的排放和氮气的供给。
最后,通过净化气体供给管117以既定流量、例如20升/min供给氮气5.5分钟,使处理室103a内恢复常压(760Torr),将放置有晶片106的晶片舟105从处理室103a卸载(卸载工序)。
在这里,对附着有有机物的晶片106的样本,在不同条件下进行了清洁。在晶片106上形成1000埃厚度的氧化膜,在对该氧化膜的表面以稀释的氟化氢(DHF)清洗1分钟之后,在净化间内放置200小时,制作出附着有有机物的晶片106的样本。
在这里,对有机物的附着量也采用接触角法进行测定。此外,在这里,对晶片106上的5个点进行测定,求取其平均值。所制作的样本的接触角为36°。即使纯水滴落在有机物被完全除去的晶片106上,纯水液珠的接触角也不会为0°,而且在低角度下要进行严谨的测定是困难的,因而可以认为,接触角在2°以下的晶片106,有机物已基本上完全除去。
表2
  温度(℃)   压力(帕)   时间(分)   臭氧浓度(vol%)   添加气体   处理片数(片)  接触角(T)(deg)  接触角(C)(deg)  接触角(B)(deg)
  实施例1   300   133   30   7.05   N<sub>2</sub>   3  1.4  1.2  1.1
  实施例2   400   133   30   7.05   N<sub>2</sub>   3  1.4  1.3  1.3
  实施例3   500   133   30   7.05   N<sub>2</sub>   3  1.5  1.3  1.3
  实施例4   600   133   30   7.05   N<sub>2</sub>   3  1.7  1.5  1.5
  实施例5   200   133   30   7.05   N<sub>2</sub>   3  10  10.5  11.6
  实施例6   200   26600   30   7.05   N<sub>2</sub>   3  1.7  1.7  1.9
  实施例7   300   26600   30   7.05   N<sub>2</sub>   3  1.4  1.4  1.3
  实施例8   300   133   5   7.05   N<sub>2</sub>   3  1.5  1.4  1.9
  实施例9   300   133   30   2.35   N<sub>2</sub>   3  1.7  1.6  1.8
  实施例10   300   133   30   14.1   N<sub>2</sub>   3  1.2  1.2  1.0
  实施例11   300   133   30   7.05   N<sub>2</sub>   100  1.5  1.2  1.3
  实施例12   300   133   30   7.05   CO<sub>2</sub>   3  2.0  1.3  1.3
  实施例13   300   133   30   7.05   CO<sub>2</sub>   100  2.0  1.3  1.5
  对比例1   600   133   30   0   N<sub>2</sub>   3  17.7  15.5  15.7
  对比例2   RT   133   30   7.05   N<sub>2</sub>   3  35  36.3  32.7
  对比例3   100   133   30   7.05   N<sub>2</sub>   3  29.1  28  28.2
  对比例4   300   133   30   0   N<sub>2</sub>   3  34.4  33.7  34.9
  未处理   -   -   -   -   -   -  35.5~36.2
表2示出进行清洁的条件。如表2所示,改变处理室103a的温度(实施例1~4、对比例2、对比例3)、处理室103a的压力(实施例7)、清洁时间(实施例8)、处理气体中的臭氧浓度(实施例9、实施例10)、添加气体的种类(实施例12、实施例13)等条件进行清洁,以分析温度、压力及时间对清洁效果的影响。在这里,为使实验简便易行,在晶片舟105的上部(T)、中部(C)、下部(B)三处各放一片(合计3片)晶片106进行试验。晶片舟105上放置较多晶片10时的清洁效果,通过实施例11和实施例13得到了确认。其结果示于表2和图6。图6中,各例用3个条形图形示出,从左侧开始分别示出位于上部、中部、下部的晶片106上所附着的有机物的附着量。另外,作为参考,在表2和图6中还示出,未进行清洁处理、现有的以氧气进行清洁的方法(对比例1)、现有清洁方法下降低处理室103a的温度(对比例4)等情况下的测定结果。
由表2及图6的实施例1~实施例4可以确认,当处理室103a的温度为300℃~600℃时,与现有的以氧气进行清洁的方法(对比例1)相比,可使晶片106的有机物附着量约为1/10。可以确认,它们的接触角在2°以下,附着在晶片106上的有机物已基本上完全除去。由此可知,与现有的以氧气进行清洁的方法相比,除去有机物的效率得到了提高。
此外,如表2及图6的实施例5、实施例6所示,在处理室103a的温度为200℃的情况下,当处理室103a的压力为低压(133Pa)时,可使晶片106的有机物附着量减小到现有的以氧气进行清洁的方法(对比例1)的约1/3,而为高压(26600Pa)时,可将附着在晶片106上的有机物基本上完全除去。
再有,如表2及图6的对比例2、对比例3所示,当处理室103a的温度为室温(RT)及100℃时,无法将附着在晶片106上的有机物除去。这是由于,处理室103a的温度过低,处理气体中的臭氧未被活化而不生成氧原子自由基,因而无法将有机物分解。由此可知,处理室103a的温度以200℃~600℃为宜。
另外,当处理室103a的温度为200℃时,通过使处理室103a的压力为26600Pa可将附着在晶片106上的有机物基本上完全除去,但若处理室103a的压力为133Pa,则无法将有机物基本上完全除去。另外,虽然处理室103a的温度高于600℃也能够将附着在晶片106上的有机物基本上完全除去,但有可能导致晶片106氧化。而且,处理室103a的温度将变得与现有的清洁方法相同。因此,若处理室103a的温度为300℃~500℃则更好。
由表2及图6的实施例1、实施例7可以确认,当处理室103a的压力为133Pa~26600Pa时,可将附着在晶片106上的有机物基本上完全除去。而如果处理室103a的压力超过26600Pa,将难以向处理区域103b均匀地供给处理气体。为此,处理室103a的压力以133Pa~26600Pa为宜。
由表2及图6的实施例1、实施例8可以确认,当清洁时间为5分钟~30分钟时,可将附着在晶片106上的有机物基本上完全除去。若清洁时间少于5分钟,则可能无法将附着在晶片106上的有机物基本上完全除去,若清洁时间长于30分钟,则不能够高效率地清洁晶片106。因此,清洁时间以5分钟~30分钟为宜。但是,也可以根据附着在晶片106上的有机物附着量的多少,将该时间进一步延长或缩短。
由表2及图6的实施例1、实施例9、实施例10可以确认,当处理气体中的臭氧浓度为2.35vol%~14.1vol%时,可将附着在晶片106上的有机物基本上完全除去。若臭氧浓度低于2.35vol%,则可能无法将附着在晶片106上的有机物基本上完全除去,而且可以认为,即使臭氧浓度高于14.1,也不会影响有机物的去除。因此,处理气体中的臭氧的浓度以2.35vol%~14.1vol%为宜。但是,也可以根据附着在晶片106上的有机物附着量的多少,将该浓度进一步提高或降低。
由表2及图6的实施例1、实施例11可以确认,即使将晶片舟105内的晶片106的片数从3片增加到100片,也不会影响附着在晶片106上的有机物的去除。这是由于,提高了处理室103a内的气导、处理室103a内维持较低压力的缘故。因此,即使晶片舟105内的晶片106的片数增加到例如100片,处理室103a的压力、清洁时间、处理气体中的臭氧浓度也表现出相同的倾向。
由表2及图6的实施例1、实施例11~实施例13可以确认,即使通过添加气体供给管112供给的添加气体的种类从氮气改为二氧化碳,也不会影响附着在晶片106上的有机物的去除。并且,还可使臭氧发生器109中所生成的处理气体中不含有NOx,向处理室103a内供给处理气体的处理气体供给管108不容易腐蚀。
一般认为,接触角法容易受到纯水所滴落到的平面(晶片106)的表面状态的影响,若由于进行清洁晶片106的表面形状发生变化,则将无法准确测定有机物的附着量。为此,对清洁前后的晶片106的表面形状进行了确认。由该结果确认,晶片106的表面形状在清洁前后几乎未发生变化。
如以上所说明的,根据本实施形式,是将处理室103a加热到可使臭氧活化的温度(200℃以上)并向该处理室103a内供给含有臭氧的处理气体的,因此,与现有的以氧气进行清洁的方法相比,可提高除去附着在晶片106上的有机物的效率。特别是,若将处理室103a加热到300℃~500℃、向该处理室103a内供给含有臭氧的处理气体,则与现有的以氧气进行清洁的方法相比,可提高低温下除去附着在晶片106上的有机物的效率。
根据本实施形式,反应管102呈单管结构形成,在反应管102的内壁与晶片106的端部之间设有空隙D,因此,使臭氧的活化状态容易得到维持。此外,可使处理室103a内易于维持低压,向处理区域103b均匀地供给处理气体。因此,进行一次清洁处理,可将多片晶片106上附着的有机物同时除去。
根据本实施形式,处理气体供给管108的前端部分108a,弯曲成能够将处理气体使之从处理区域103b之外通过而供向反应管102的上方,因此,能够提高处理室103a内的气导,使臭氧的活化状态容易得到维持。此外,可使处理室103a内易于维持低压,能够向处理区域103b均匀地供给处理气体。因此,进行一次清洁处理,可将多片晶片106上附着的有机物同时除去。
根据本实施形式,是使处理气体暂时到达反应管102,并通过真空泵116的抽吸作用向处理区域103b供给的,因此,能够向处理区域103b均匀地供给处理气体。
根据本实施形式,向臭氧发生器109除了供给氧气之外还供给氮气,因此,臭氧发生器109中臭氧的发生效率提高。
〔第3实施形式〕
下面,对本发明的第3实施形式举例进行说明,该例中,使用图7所示批量式立式热处理装置,在利用含有臭氧的处理气体将附着在晶片上的有机物分解、除去(清洁)后,进一步向清洁后的晶片供给水蒸汽而形成氧化硅薄膜。
如图7所示,作为热处理装置121,除了还具成膜气体供给管122以向清洁后的晶片供给由水蒸汽组成的成膜气体这一点不同之外,具有与第2实施形式的热处理装置101相同的结构。
成膜气体供给管122设置在非处理区域103c中,从歧管103的侧面插入。成膜气体供给管122连接到未图示的燃烧装置上。燃烧装置使氧气和氢气燃烧而产生水蒸汽并将该水蒸汽供给成膜气体供给管122。此外,成膜气体供给管122,以其前端部分122a朝向处理区域103b方向(上方)折弯的弯曲状态形成。因此,由成膜气体供给管122供给的成膜气体,向反应管102的上方喷出。此外,与处理气体供给管108的前端部分108a同样,成膜气体供给管122的前端部分122a是设置在这样的位置上的,即,可将向上方喷出的成膜气体,使之从处理区域103b之外(例如图7所示的由空隙D形成的空间)通过后,向处理区域103b的上方(反应管102的上部)供给。
下面,就使用热处理装置121,将附着在晶片106上的有机物以含有臭氧的处理气体进行清除,再在清除后的晶片106上形成氧化硅膜(薄膜)的方法,结合图8所示的时序(时间顺序)进行说明。本实施形式中的装载工序、稳定化工序及清洁工序,与第2实施形式中的装载工序、稳定化工序及清洁工序相同,因此,在这里,将从第1净化工序进行说明。另外,构成热处理装置121的各部的动作受控制部120的控制。
如图8所示,在通过装载工序、稳定化工序及清洁工序从晶片106上将有机物除去后,停止通过处理气体供给管108供给处理气体。并且,对复合阀115的开度进行控制并驱动真空泵116,将处理室103a内的气体排出,之后,通过净化气体供给管117以既定流量、例如10升/min供给氮气,将处理室103a内的气体排放到排气管114中。处理室103a内气体的排放,一直进行到处理室103a内的压力达到既定压力、例如53200Pa(400Torr)为止。此外,通过升温用加热器107将处理室103a内加热到既定温度、例如750℃。并且,该减压与加热操作进行既定的时间,以使处理室103a内稳定在既定的压力和温度上(第1净化工序)。
在处理室103a内稳定在既定的压力和温度上后,停止通过净化气体供给管117供给氮气。并且,通过成膜气体供给管122以既定流量供给水蒸汽使之到达反应管102的顶部(晶片舟105的上方)。通过真空泵116的抽吸作用,将到达反应管102的顶部的水蒸汽供给处理区域103b。于是,在水蒸汽进入处理区域103b后,晶片106发生湿式氧化,在晶片106上形成氧化硅薄膜(薄膜形成工序)。
在这里,由于使水蒸汽暂时到达反应管102的顶部,因此,能够向处理区域103b均匀地供给水蒸汽。因此,能够在晶片106上均匀地形成氧化硅膜。
此外,除去附着在晶片106上的有机物(清洁工序)、与、在有机物被除去的晶片106上形成氧化硅膜(薄膜形成工序)是以同一个热处理装置121进行的,因此,在晶片106上形成氧化硅膜的工作变得简单。此外,在从清洁工序转向薄膜形成工序时,不需要对清洁后的晶片106进行输送,因此,不必担心从清洁工序转到薄膜形成工序的过程中会有有机物附着到晶片106上。
在晶片106上形成了氧化硅膜之后,停止通过成膜气体供给管122供给水蒸汽。并且,在对复合阀115的开度进行控制并驱动真空泵116而将处理室103a内的气体排出后,通过净化气体供给管117以既定流量、例如10升/min供给氮气,将处理室103a内的气体向排气管114排放。该通过净化气体供给管117进行的氮气的供给,例如进行10分钟(第2净化工序)。为了能够切实排放处理室103a内的气体,最好反复进行多次处理室103a内气体的排放与氮气的供给。
最后,通过净化气体供给管117以既定流量、例如20升/min供给氮气5.5分钟,使处理室103a内恢复常压(760Torr),将晶片舟105(晶片106)从处理室103a卸载(卸载工序)。
下面,对第2和第3实施形式的变化形式进行说明。
在第2实施形式中,是使用批量式立式热处理装置101除去附着在晶片106上的有机物的,但也可以使用单片式热处理装置。这种场合也同样,能够在较低温度下高效率除去附着在晶片106上的有机物。
在第3实施形式中,除去附着在晶片106上的有机物和在晶片106上形成氧化硅膜是以同一个热处理装置121进行的,但也可以以不同的装置分别进行。
在第3实施形式中,是向有机物被除去的晶片106供给水蒸汽而形成氧化硅膜的,但也可以向有机物被除去的晶片106供给臭氧而形成氧化硅膜。这样,可以不需要成膜气体供给管122,使热处理装置121的结构变得简单。此外,晶片106上所形成的薄膜并不限于氧化硅膜,也可以是其它薄膜、例如氮化硅膜。
在第2及第3实施形式中,反应管102呈单管结构形成,在反应管102的内壁与晶片106的端部之间设有间隙D,但作为反应管102,只要具有可维持臭氧的活化状态的气导即可,例如也可以呈由内管和外管构成的双层管结构形成。
在第2及第3实施形式中,是将处理气体供给管108(成膜气体供给管122)设置在非处理区域103c,使所供给的处理气体(成膜气体)可到达反应管102的顶部,通过排放处理室103a内的气体而将处理气体(成膜气体)供给处理区域103b的,但也可以将处理气体供给管108(成膜气体供给管122)设置在反应管102的顶部,将处理气体(成膜气体)供给处理区域103b。
在第2及第3实施形式中,虽然处理气体供给管108的处理气体导入部108b(成膜气体供给管122的前端部分122a)位于非处理区域103c内,但这部分的长度是任意的,既可以长于也可以短于图4及图7所示的长度。此外,处理气体导入部8b(前端部分122a)也可以是多孔式(分散式)喷嘴。
最好是,使装载温度与清洁温度二者大体相等。例如第2实施形式中的清洁温度以300℃为宜。这样,不需要为清洁工序进行温度操作。
在第2实施形式中,清洁工序也可以在从装载温度向成膜温度升温的过程中进行。这样,清洁工序和向成膜温度的升温可同时进行,可缩短晶片106的薄膜形成时间。
对臭氧发生器109,并不限于供给氧气以及氮气或二氧化碳气。例如,也可以在净化器110上只连接氧气供给管111,只向臭氧发生器109供给氧气。这也同样能够通过臭氧发生器109产生臭氧。
处理气体供给管108和成膜气体供给管122的数量并不限于一个,也可以是多个。此外,被处理体并不限于晶片106,例如也可以是玻璃基板。

Claims (6)

1.一种被处理体的处理方法,其特征是,包括:
将附着有有机物的被处理体放入反应室的工序;以及,
将所述反应室加热到既定温度并供给处理气体从而将所述有机物从所述被处理体上除去的工序;
所述处理方法以不会使得供给到所述反应室的处理气体在所述反应室内等离子化的方式来实施;
所述处理气体含有氧化性气体和还原性气体,在将所述反应室减压到压力为133Pa~399Pa的状态下通过将所述反应室的温度加热到可使所述氧化性气体和所述还原性气体活化的至少350℃,使得所述氧化性气体和还原性气体发生反应而产生活性氧和活性羟基,通过这些活性物从所述被处理体中除去所述有机物。
2.如权利要求1所述的方法,其特征是,所述氧化性气体含有选自O2、N2O、NO的至少一种气体,所述还原性气体含有选自H2、NH3、CH4的至少一种气体。
3.如权利要求1或2所述的方法,其特征是,在放入所述被处理体的工序中,所述反应室内可容放多个附着有有机物的被处理体。
4.一种被处理体的处理装置,其特征是,包括:
具有可设定成既定温度的加热部的、容放被处理体的反应室;
向所述反应室内供给含有氧化性气体和还原性气体的处理气体的处理气体供给机构;
排放所述反应室内的气体的排气机构;
通过所述排气机构使得所述反应室内压力为133Pa~399Pa的减压状态的同时,通过所述加热部将所述反应室加热到可使所述氧化性气体和所述还原性气体活化的至少350℃的温度,由此使得所述氧化性气体和还原性气体发生反应而产生活性氧和活性羟基的控制机构,
不具有使得供给到所述反应室的处理气体在所述反应室内等离子化的机构。
5.如权利要求4所述的装置,其特征是,所述氧化性气体含有选自O2、N2O、NO的至少一种气体,所述还原性气体含有选自H2、NH3、CH4的至少一种气体。
6.如权利要求4所述的装置,其特征是,所述反应室具有可容放多个所述被处理体的被处理体容放部,
所述控制机构向所述被处理体容放部供给所述处理气体,将所述多个被处理体上所附着的有机物除去。
CNB01822329XA 2000-12-05 2001-12-04 被处理体的处理方法及处理装置 Expired - Fee Related CN100372076C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP370023/2000 2000-12-05
JP2000370023A JP4626912B2 (ja) 2000-12-05 2000-12-05 被処理体の処理方法、処理装置、薄膜形成方法及び薄膜形成装置
JP370023/00 2000-12-05
JP2001026233A JP4607347B2 (ja) 2001-02-02 2001-02-02 被処理体の処理方法及び処理装置
JP26233/2001 2001-02-02
JP26233/01 2001-02-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN 200510127114 Division CN1783436A (zh) 2000-12-05 2001-12-04 被处理体的处理方法及处理装置

Publications (2)

Publication Number Publication Date
CN1502120A CN1502120A (zh) 2004-06-02
CN100372076C true CN100372076C (zh) 2008-02-27

Family

ID=26605263

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01822329XA Expired - Fee Related CN100372076C (zh) 2000-12-05 2001-12-04 被处理体的处理方法及处理装置

Country Status (6)

Country Link
US (1) US7208428B2 (zh)
EP (1) EP1351283A4 (zh)
KR (1) KR100886997B1 (zh)
CN (1) CN100372076C (zh)
TW (1) TW541595B (zh)
WO (1) WO2002047142A1 (zh)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US6913654B2 (en) * 2003-06-02 2005-07-05 Mykrolis Corporation Method for the removal of airborne molecular contaminants using water gas mixtures
US7556839B2 (en) * 2004-03-29 2009-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
JP4765328B2 (ja) * 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
KR20080034492A (ko) * 2005-08-03 2008-04-21 엔테그리스, 아이엔씨. 이송 용기
JP4933789B2 (ja) * 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20070209683A1 (en) * 2006-03-13 2007-09-13 Macronix International Co., Ltd. Method for cleaning reactor and method for manufacturing a chip thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP4386132B2 (ja) * 2007-02-14 2009-12-16 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
EA200901290A1 (ru) * 2007-03-28 2010-04-30 Бургхардт Креббер Аппарат для обработки зубов
JP4470970B2 (ja) 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
KR101521998B1 (ko) * 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US20130153201A1 (en) * 2010-12-30 2013-06-20 Poole Ventura, Inc. Thermal diffusion chamber with cooling tubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140034632A1 (en) * 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61284925A (ja) * 1985-06-10 1986-12-15 Sony Corp 半導体装置の製造方法
JPH04290219A (ja) * 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
JPH09167759A (ja) * 1995-12-15 1997-06-24 Semiconductor Energy Lab Co Ltd 半導体装置製造方法
JPH09283509A (ja) * 1996-04-11 1997-10-31 Sony Corp ウエハ処理装置および処理方法
JPH10189487A (ja) * 1996-12-20 1998-07-21 Sony Corp 薄膜堆積方法
JPH1144443A (ja) * 1997-07-24 1999-02-16 Oki Electric Ind Co Ltd クリーンルーム、半導体素子製造方法、半導体素子製造用処理室、半導体素子製造装置および半導体素子用部材の洗浄方法
CN1225501A (zh) * 1997-12-26 1999-08-11 佳能株式会社 热处理设备、热处理工艺及半导体工件的制造工艺
EP1041612A1 (en) * 1998-09-14 2000-10-04 Shin-Etsu Handotai Company Limited Method for heat-treating silicon wafer and silicon wafer

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5547952B2 (zh) * 1971-12-29 1980-12-03
JPS5143079A (ja) * 1974-10-11 1976-04-13 Hitachi Ltd Taishokuseijushimakujokyoho
JPS6057937A (ja) * 1983-09-09 1985-04-03 Ushio Inc 紫外線洗浄方法
JPH0760794B2 (ja) * 1985-05-27 1995-06-28 富士通株式会社 シリコンのエピタキシヤル成長方法
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPH088255B2 (ja) * 1990-02-20 1996-01-29 株式会社東芝 半導体基板表面処理方法および半導体基板表面処理装置
JPH0439931A (ja) * 1990-06-06 1992-02-10 Oki Electric Ind Co Ltd 半導体装置の酸化膜形成方法
JPH07118522B2 (ja) * 1990-10-24 1995-12-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 基板表面を酸化処理するための方法及び半導体の構造
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
JPH05109686A (ja) * 1991-10-14 1993-04-30 Nippon Steel Corp シリコンウエーハの洗浄方法およびその装置
US5633424A (en) * 1994-12-29 1997-05-27 Graves; Clinton G. Device and methods for plasma sterilization
US5567271A (en) * 1995-07-26 1996-10-22 Chartered Semiconductor Manufacturing Pte Ltd Oxygen reactive ion etch (RIE) plasma method for removing oxidized organic residues from semiconductor substrates
JP3504784B2 (ja) * 1995-09-07 2004-03-08 東京エレクトロン株式会社 熱処理方法
KR100533814B1 (ko) * 1997-03-28 2006-03-31 도쿄 엘렉트론 가부시키가이샤 기판처리방법및기판처리장치
JP3426494B2 (ja) * 1998-04-02 2003-07-14 沖電気工業株式会社 半導体装置の製造方法
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
DE19924058A1 (de) * 1999-05-26 2000-11-30 Bosch Gmbh Robert Verfahren und Vorrichtung zur Beseitigung von Kontaminationen durch Ozonbehandlung

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61284925A (ja) * 1985-06-10 1986-12-15 Sony Corp 半導体装置の製造方法
JPH04290219A (ja) * 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
JPH09167759A (ja) * 1995-12-15 1997-06-24 Semiconductor Energy Lab Co Ltd 半導体装置製造方法
JPH09283509A (ja) * 1996-04-11 1997-10-31 Sony Corp ウエハ処理装置および処理方法
JPH10189487A (ja) * 1996-12-20 1998-07-21 Sony Corp 薄膜堆積方法
JPH1144443A (ja) * 1997-07-24 1999-02-16 Oki Electric Ind Co Ltd クリーンルーム、半導体素子製造方法、半導体素子製造用処理室、半導体素子製造装置および半導体素子用部材の洗浄方法
CN1225501A (zh) * 1997-12-26 1999-08-11 佳能株式会社 热处理设备、热处理工艺及半导体工件的制造工艺
EP1041612A1 (en) * 1998-09-14 2000-10-04 Shin-Etsu Handotai Company Limited Method for heat-treating silicon wafer and silicon wafer

Also Published As

Publication number Publication date
CN1502120A (zh) 2004-06-02
KR100886997B1 (ko) 2009-03-04
WO2002047142A1 (fr) 2002-06-13
US20040219793A1 (en) 2004-11-04
TW541595B (en) 2003-07-11
EP1351283A4 (en) 2006-01-25
EP1351283A1 (en) 2003-10-08
US7208428B2 (en) 2007-04-24
KR20030062366A (ko) 2003-07-23

Similar Documents

Publication Publication Date Title
CN100372076C (zh) 被处理体的处理方法及处理装置
US6844273B2 (en) Precleaning method of precleaning a silicon nitride film forming system
JP3774668B2 (ja) シリコン窒化膜形成装置の洗浄前処理方法
TWI266811B (en) Cleaning method for thin film formation system
US6159298A (en) Thermal processing system
JP4430918B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
US5378283A (en) Treating device
KR101129099B1 (ko) 반도체 처리용 포집 유닛 및 성막 장치
JP4086146B2 (ja) 半導体装置の製造方法および基板処理装置
WO2004027849A1 (ja) 半導体装置の製造方法および基板処理装置
JP2007243014A (ja) 半導体装置の製造方法及び基板処理装置
US20090253269A1 (en) Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US20020020433A1 (en) Oxidation apparatus and method of cleaning the same
US20100112191A1 (en) Systems and associated methods for depositing materials
JP2001284264A (ja) 気相成長方法
JP5197554B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
WO2002073675A1 (fr) Procede de nettoyage pour dispositif de traitement de substrat et dispositif de traitement de substrat
US20060216949A1 (en) Method for cleaning heat treatment apparatus
JP4607347B2 (ja) 被処理体の処理方法及び処理装置
JP4253612B2 (ja) 基板処理装置
KR100700762B1 (ko) 박막형성장치의 세정방법
JP4361179B2 (ja) オゾン処理装置及びオゾン処理方法
JP4948490B2 (ja) クリーニング方法および基板処理装置
CN1783436A (zh) 被处理体的处理方法及处理装置
TW202017666A (zh) 清潔方法、半導體裝置之製造方法、基板處理裝置及記錄媒體

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080227

Termination date: 20141204

EXPY Termination of patent right or utility model