TW541595B - Treatment method and apparatus of substrate - Google Patents

Treatment method and apparatus of substrate Download PDF

Info

Publication number
TW541595B
TW541595B TW090130122A TW90130122A TW541595B TW 541595 B TW541595 B TW 541595B TW 090130122 A TW090130122 A TW 090130122A TW 90130122 A TW90130122 A TW 90130122A TW 541595 B TW541595 B TW 541595B
Authority
TW
Taiwan
Prior art keywords
gas
processing
reaction chamber
aforementioned
patent application
Prior art date
Application number
TW090130122A
Other languages
English (en)
Inventor
Shingo Hishiya
Yoshikazu Furusawa
Teruyuki Hayashi
Misako Saito
Kota Umezawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000370023A external-priority patent/JP4626912B2/ja
Priority claimed from JP2001026233A external-priority patent/JP4607347B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW541595B publication Critical patent/TW541595B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

541595 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(2) 裝置5 1內,亦即加熱晶圓5 3成所定之溫度’例如 6 0 0。(:。接著,從引入口 5 5供應處理氣體,例如氧氣 至處理裝置5 1內。所供應之氧氣,將會在晶圓5 3近旁 被熱分解而生成氧原子自由(游離)基(〇* ) ’並分解所 附著於晶圓5 3表面之有機物。而所分解之有機物乃藉排 氣口 5 6來排出於處理裝置5 1外面。由以上之過程而可 淸洗晶圓。 然而,伴隨著半導體裝置之高集體化而裝置之圖型會 微細化,使得對於所附著於晶圓5 3之有機物的量之容許 範圍極爲嚴格,因此,更進一步地企盼更能增進去除有機 物的效率。 又依照上述之淸洗方法時,爲了要生成氧原子自由基 ,必需加熱處理裝置5 1內(亦即晶圓5 3 )至例如 6 0 0 °C之高溫。而如此之狀況,對於防止晶圓之熱氧化 的觀點言,並非理想。 再者,依照上述之淸洗方法時,因一次僅淸洗一片晶 圓53 ,因而,在於淸洗多數之晶圓53時,會使需要淸 洗之時間變爲長。 又依照上述之淸洗方法,當要形成薄膜於已淸洗之晶 圓5 3時,具有會在搬運晶圓5 3至薄膜形成裝置之間, 產生重新附著有機物於晶圓5 3之虞。 〔發明之摘要〕 本發明係鑑於上述問題而發明者,其目的係擬提供一 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _5 - 裝 : 訂 (請先閱讀背面之注意事項再填寫本頁) 541595 A7 „ _ B7 五、發明説明(3) 種可增進去除所附著於被處理體(待加工物件)之有機物 的去除效率之被處理體的處理方法及處理裝置者。 本發明之另一目的,係擬提供一種能在較低溫下,有 效率地去除所附著於被處理體之有機物的處理方法及處理 裝置者。 本發明之再另一目的,係擬提供一種能在短時閒內予 以去除所附著於複數片之被處理體的有機物之被處理體的 處理方法及處理裝置者。 本發明之再另一目的,係擬提供一種對於已去除有機 物之被處理體,再進一步形成薄膜之處理方法及處理裝置 者。 本發明之再另一目的,係擬提供一種可由同一之裝置 來進行去除有機物及薄膜形成的處理方法及處理裝置者。 爲了達成上述目的,有關本發明之第1觀點的被處理 體之處理方法,其特徵爲:具備有··要收容附著有機物之 被處理體(待加工物件)於反應室之過程;及加熱前述反 應室成爲所定溫度之同時供應處理氣體,以從前述被處理 體去除前述有機物之過程,而前述處理氣體含有氧化性氣 體及還原性氣體,且加熱前述溫度成爲可令前述氧化性氣 體及還原性氣體成爲活性化之溫度。 依據如此之方法,將供應包含有氧化性氣體及還原性 氣體之處理氣體至反應室。以令產生燃燒反應於反應室內 ,而生成氧活性種(◦ * )及羥基活性種(〇Η * )。而由 該氧活性種及羥基活性種來氧化、分解附著於被處理體之 (請先閲讀背面之注意事項再填寫本頁) _裝· 訂 經濟部智慧財產局員工消費合作杜印製 本纸張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) -6 - 541595 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(4 ) 有機物,使得可從被處理體去除有機物。 前述氧化性氣體乃含有從例如◦ 2、N 2〇、N ◦所形 成之群中所選擇的至少一種氣體。又前述還原性氣體乃含 有從例如Η 2、N Η 3、C Η 4所形成之群中所選擇的至少 一種氣體。 理想爲加熱前述反應室之溫度成爲至少3 5 0 °C。以 如此地來降低反應室之溫度較習知者更低,也可去除所附 著於被處理體之有機物。 理想爲設定前述反應室內之壓力爲1 3 3 P a〜 3 9 9 P a。以如此地設定反應室內爲低壓時,可均勻地 供應處理氣體於被處理體。 可收容附著有前述有機物之被處理體複數片於前述反 應室。該時,可由一次處理來去除附著於複數被處理體的 被處理體,使得可縮短需要去除有機物之時間。 有關本發明之第2觀點的被處理體之處理裝置,其特 徵爲具備有:反應室,具有可設定成所定溫度之加熱部, 用於收容被處理體;處理氣體供應機構,要供應含有氧化 性氣體和還原性氣體之處理氣體給予前述反應室內;排氣 機構,要排氧前述反應室內之氣體;及控制機構,用於由 前述加熱部來加熱前述反應室成爲可令前述氧化性氣體及 前述還原性氣體成爲活性化。 依據該裝置,可由處理氣體供應機構來供應含有氧化 性氣體及還原性氣體之處理氣體給予收容有有附著有機物 之被處理體的反應室。又可由控制機構所控制之加熱部來 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -7 - 541595 A7 B7 五、發明説明(5) (請先閱讀背面之注意事項再填寫本頁) 加熱反應室成爲可活性化氧化性氣體及還原性氣體之溫度 。則可產生燃燒反應於反應室而生成氧活性種及羥基活性 種。而可由該氧化性種及羥基活性種來氧化、分解所附著 於被處理之有機物,使得可從被處理體去除有機物。 前述氧化性氣體乃含有從例如0 2,N 2 0、N ◦所形 成之群中所選擇的至少一種氣體。又前述還原性氣體乃含 有從例如Η 2、N Η 3、C Η 4所形成之群中所選擇的至少 一種氣體。 前述控制機構理想爲可令前述加熱部加熱前述反應室 之溫度至少成爲3 5 0 °C。以如此地來使反應室溫度較習 知者降低,亦可去除所附著於被處理體之有機物。 前述控制機構理想爲可令前述排氣機構排氣前述反應 室內之氣體,以維持前述反應室內之壓力成爲1 3 3 P a 〜3 9 9 P a。當如此地設定反應室內成爲低壓時,就可 均勻地供應處理氣體給予被處理氣體。 經濟部智慧財產局員工消費合作社印製 前述反應室理想爲具備有可收容複數之前述被處理體 的被處理體收容部,而前述控制機構理想爲可令前述處理 氣體供應於前述被處理體收容部,以去除附著於前述複數 被處理體的有機物。該時,可由一次之處理來去除附著於 被處理體之有機物,使得可縮短需要去除有機物之時間。 有關本發明之第3觀點的被處理體之處理方法,其特 徵爲:具備有:要收容附著有機物之被處理於反應室的過 程;及加熱該反應室成所定溫度之同時供應處理氣體,以 從前述被處理體去除前述有機物之過程,而前述處理氣體 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 8 - 541595 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(6 ) 乃含有臭氧,且予以加熱前述反應室之溫度成爲可活性化 前述臭氧之溫度。 依據該方法,將供應含有臭氧之處理氣體於收容有附 著有機物之被處理體的反應室。而在反應室內來使臭氣成 爲活性化以生成氧原子自由基。由該氧原子自由基而可分 解所附著於被處理之有機物,使得可從被處理體去除有機 物。因此,可增進去除附著於被處理體之有機物的去除效 率。 也可收容複數片之附著前述有機物之被處理體於前述 反應室。該時,可由一次之處理來去除附著於複數片被處 理體的有機物,使得可縮短需要去除有機物之時間。 前述反應室之溫度理想爲加熱成例如3 0 0 t〜 5 0 0 °C。即使如此地較習知者降低反應室之溫度,也可 去除附著於被處理體之有機物。 前述反應室內之壓力理想爲設定成1 3 · 3P a〜 2 6 6 0 0 P a。當設定反應室內成如此之低壓時,就可 均勻地供應處理氣體給予被處理體。 而做爲前述有機物,具有例如磷酸三丁酯、矽氧烷、 酞酸二辛酯中之至少一種。 理想爲,從要處理前述反應室之前述被處理體的處理 區域一方側之非處理區域來供應處理氣體,且使之可到達 前述處理區域之另一方側,並從前述處理區域之一方側的 非處理區域予以排氣前述反應室內之氣體,由而,可供應 到達於前述處理區域之另一方側的處理氣體給予前述處理 ^^衣----„---II------ (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -9 - 經濟部智慧財產局員工消費合作社印製 541595 A7 B7 五、發明説明(7) 區域。該時,到達於處理區域另一方側之處理氣體,可由 排氣反應室內之氣體而均勻地供予處理區域。使得可由處 理氣體來使有機物從被處理體去除並排氣至反應室外。 上述方法,可予以再具備有,供應成膜氣體給予已去 除有機物之被處理體,以形成薄膜於前述被處理體用的薄 膜形成過程。該時,理想爲以同一裝置來進行要收容前述 被處理體之過程,和去除前述有機物之過程,及前述薄膜 形成過程。由而形成薄膜於被處理體之情事可成爲簡單。 又可消除在於從前述處理過程移至前述薄膜形成過程之間 ,具有會產生附著有機物於被處理體之虞。又理想爲令前 述收容被處理體之過程時之收容被處理體於反應室的裝載 溫度,和前述去除有機物之過程時的前述反應室之溫度大 致成爲相等。則並不需要操控去除有機物時之溫度。 有關本發明之第4觀點的被處理體之處理裝置,其特 徵爲具備有:反應室,具有可設定成所定之溫度的加熱部 ,用於收容附著有機物之被處理體;處理氣體供應機構, 用於供應含有臭氧之處理氣體給予前述反應室內;排氣機 構用於排氣前述反應室內之氣體;控制機構,用於可前述 加熱部來加熱前述反應室成可令前述臭氣活性化。 依據該裝置,可由處理氣體供應機構來供應含有臭氣 之處理氣體給予收容有附著有機物之被處理體的反應室。 而由控制機構所控制之加熱部來加熱反應室,以令臭氧可 形成活性化,使得所供應於反應室之臭氧活性而生成氧原 子自由基。則可由該氧原子自由基而分解附著於被處理體 衣 : 訂 (請先閲讀背面之注意事項再填寫本頁) 1紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -10 : 541595 A7 B7 五、發明説明(8 ) 的有機物,由而,能從被處理體去除有機物。 (請先閲讀背面之注意事項再填寫本頁) 理想爲即述反應室具有可收容複數之前述被處理體之 被處理體收容部’而前述控制機構可供應前述處理氣體給 予則述被處理體收容部來去除附著於前述複數被處理體的 有機物。該時’可由一次之處理來去除附著於複數被處理 體的有機物,使得可縮短去除有機物所需要之時間。 前述反應室理想爲具有可維持前述臭氧之活性狀態的 流導(conductance )。而做爲如此之反應室,有例如單管 構造之反應室。 前述控制機構理想爲可操控前述加熱部來加熱前述反 應室之溫度成例如3 0 0 °C〜5 0 0 t。即使以如此地降 氐反應室之溫度,也可增進去除所附著於被處理體之有機 物的去除效率。 理想爲前述控制機構可操控前述排氣機構來排氣前述 反應室內之氣體,以令前述反應室之壓力維持於例如 1 3 _ 3Pa〜26600Pa。當以如此地設定反應室 成低壓時,可均勻地供應處理氣體給予被處理體。 經濟部智慧財產局員工消費合作社印製 理想爲前述處理氣體供應機構具有由臭氧產生器所構 成之臭氧產生部,而對於前述臭氧產生部連接有要供應氧 氣,和氮氣或二氧化碳的臭氧生成氣體供應管。當連接有 要供應氧氣和氮氣用之臭氣生成氣體供應管時,就可增進 在臭氧產生部所產生之臭氧產生效率。又在連接有要供應 氧氣和二氧化碳的臭氧生成氣體供應管時,就在臭氧產生 部所生成之處理氣體中不會含有N 0 X,就可令供應處理 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - 541595 A7 B7 五、發明説明(9 ) 氣體給予反應室內的處理氣體供應機構難於產生腐蝕。 (請先閱讀背面之注意事項再填寫本頁) 理想爲前述反應室具有要處理被處理體之處理區域之 同時,至少在該處理區域之一方側具有非處理區域,而前 述處理氣體供應機構及前述排氣機構乃配置於前述處理區 域一方側之非處理區域,前述控制機構乃操控前述處理氣 體供應機構使之所供應的處理氣體可從該非處理區域到達 前述處理區域之另一方側的同時,操控前述排氣機構使之 排氣前述反應室內的氣體,以令到達於前述處理區域另一 方側之臭氧可供予前述處理區域。該狀態時,由處理氣體 供應機構而到達於前述處理區域另一方側之處理氣體,可 由排氣機構來均勻地供予處理區域。而可由處理氣體來從 被處理體去除有機物並排氣至反應室外面。 前述處理氣體供應機構具有要供應處理氣體於前述反 應室內用之處理氣體供應管。而該處理氣體供應管之前端 部分理想爲朝該另一方側之非處理區域方向彎曲,以令可 從前述一方側之非處理區域通過非處理區域來供予前述另 一方側之非處理區域。該狀態時,可增進反應室內之流導 〇 經濟部智慧財產局員工消費合作社印製 又上述處理裝置可構成爲更具備有:用於供應成膜氣 體於反應室內的成膜氣體供應機構;及用於操控加熱部來 加熱前述反應室內成所定溫度之同時,操控前述成膜氣體 供應機構來供應前述成膜氣體給予已去除有機物之被處理 體,以形成薄膜於該被處理氣體的成膜控制機構。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12 - 541595 A7 B7 五、發明説明(10) 〔理想之實施形態〕 以下,將參照所附上之圖式來說明有本發明的理想竇 施形態。 (第1實施形態) 首先,將本發明之第1實施形態以使圖1所示之整批 (分批)或縱向型熱處理裝置來分解,去除(淸洗)半導 體晶圓(以下簡稱爲晶圓)亦即被處理上所附著之有機物 時爲例加以說明。 如圖1所示,熱處理裝置1具有長軸方向朝垂直方向 之略圓筒狀的反應管2。反應管2乃具有由要構成成膜區 域於內部的內管3 ,及覆蓋內管3之同時形成與內管3具 有一定間隔之具頂部的外管4所構成之雙重管構造。內管 3及外管4係由耐熱材料,例如石英所形成。 外管4下方配置有形成筒狀之由不銹鋼(S U S )所 形成之歧管5。歧管5係與外管下端4下端連接成氣密。 又內管3係從歧管5內壁突出之同時,被支承於與歧管5 成一體之支承環6。 歧管5下方配置了蓋體7,且可由晶舟升降機8來朝 上下移動蓋體7。當蓋體7上升時,歧管5下方側會封閉 〇 蓋體7載置有由石英所形成之晶舟9。晶舟9收容有 朝垂直方向且隔著所定間隔之晶圓1 0複數片。 反應管2周圍配設有圍繞反應管2之絕熱體1 1 ,其 本紙張尺度適用中國國家標準(CNS ) A4規格(·21〇Χ 297公釐) -13 - (請先閲讀背面之注意事項再填寫本頁) 訂 L0. 經濟部智慧財產局員工消費合作社印製 541595 A7 B7 五、發明説明(11) (請先閲讀背面之注意事項再填寫本頁) 內壁面配設有由電阻發熱體所形成溫(度)升(高)用力0 熱器1 2。由溫升用加熱器1 2之發熱而使反應管2內設 定成所定之溫度。 歧管5側面插穿複數之氣體引入管。於本實施形態, 插穿有第1氣體引入管1 3和第2氣體引入管1 4之2支 氣體引入管於歧管5側面。 第1氣體引入管1 3配設成面臨於內管3內。而如圖 1所示,從支承環6下方(內管3下方)之歧管5側面插 穿第1氣體引入管1 3。又從第1氣體引入管1 3引入例 如氧氣(〇2 )之氧化性氣體至內管3內。 第2氣體引入管1 4配設成面臨於內管3內,且與第 1氣體導入管1 3同樣,插穿於靠支承環6下方(內管3 下方)之歧管5側面。又從第2氣體引入管1 4引入例如 氫氣(H2)之還原性氣體至內管3。 經濟部智慧財產局員工消費合作社印製 歧管5側面配設有排氣口 1 5。排氣口 1 5乃配設於 較支承環6上方,且連通於所形成於反應管2內之內管3 和外管4間的空間。而從第1氣體引入管1 3供應氧氣, 從第2氣體引入管1 4供應氫氣來供予內管3內,以進行 淸洗,並由淸洗所分解之有機物則通過內管3和外管間來 排出於排出口。又在歧管5側面之排出口 1 5下方,插穿 有做爲供應淸洗氣體之氮氣用之淸除氣體供應管1 6。 排出口 1 5有連接成氣密的排氣管1 7。排氣管乃介 居配設有閥1 8和真空泵1 9。閥1 8係用於調整排氣管 1 7之打開度,以控制反應管2內之壓力成爲所定壓力。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -14 - 541595 A7 _B7 五、發明説明(12) 真空泵1 9乃藉排氣管1 7來排氣反應管2內之氣體,同 時用於調整反應管2內之壓力。 (請先閱讀背面之注意事項再填寫本頁) 晶舟升降機8,溫升用加熱器1 2,第1氣體引入管 13,第2氣體引入管14,淸除氣體供應管16,閥 1 8及真空泵1 9乃連接於控制部2 0。控制部2 0係由 微處理機,程式控制器等所構成,以測定熱處理裝置1之 各部分溫度、壓力等,而依據測定資料(數據)來輸出控 制信號等於上述各部分,以控制熱處理裝置1的各部分。 接著,以參照圖2所示之處方(時序)來說明使用處 理裝置1而由含有氧氣和氫氣之處理氣體來淸洗所附著於 晶圓1 0上之有機物的處理方法。再者,在以下之說明, 有關構成處理裝置1之各部分動作乃由控制部2 0所控制 〇 首先,以降下蓋體7之狀態下來載置收容有附著有機 物之晶圓1 0的晶舟9於蓋體上。又由溫升用加熱器1 2 來設定反應管2內成所定之裝載溫度。 經濟部智慧財產局員工消費合作社印製 其次,由晶舟升降機8上升蓋體7,而裝塡裝載晶圓 1 0之晶舟9於反應管2之內管3內。由而,可收容晶圓 1 0於反應管2內之同時,可氣密地關閉。又從淸除氣體 供應管1 6供應所定量之氮氣給予反應管2內之同時,控 制閥1 8之打開度之狀態下,驅動真空泵1 9來排出反應 管2內之氣體。排出反應管2內之氣體係進行反應管2內 之壓力從常壓直至所定之壓力,例如1 3 3 P a〜3 9 9 Pa (ITorr〜3T〇rr)爲止0 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) :15 - " 541595 A7 B7 五、發明説明(15) 角的方法。而愈附著有機物愈會增高疏水性,使得接觸角 變大。相反地,有機物之附著量減少時’親水性會增高而 接觸角會變小。 在此,在晶圓1 0上之5點測定了接觸角,並求出該 平均値。其結果,所製成之樣品的接觸角爲5 7 ° 。再者 ,即使滴下純水於完全已去除有機物的晶圓1 0上,純水 液滴之接觸角並不會成爲0 ° ,又在小角度下要進行嚴密 (精確)測定,實爲極困難,因此,接觸角爲2 °以下之 晶圓1 0,可思爲大致已完全去除有機物者。 請 先 閲 面 意 事 項 再 填 馬 本 頁 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 表1 溫度 壓力 時間 處理片數 接觸角 (°C ) (Pa) (分) (片) (deg) 實施例1 350 133 30 3 1.2 實施例2 400 133 30 3 1.2 實施例3 350 200 30 3 1.2 實施例4 350 266 30 3 1.4 實施例5 350 399 30 3 1.8 實施例6 350 200 10 3 1.3 實施例7 350 200 1 3 1.3 實施例8 350 200 30 100 1.3 比較例1 300 133 30 3 56.5 比較例2 330 133 30 3 53 未處理 — — — — 57 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 18 - 541595 A7 _ B7 五、發明説明(16) 將在表1顯示淸洗條件。如表1所示,予以進行變化 反應管2之溫度(如實施例1 、實施例2、比較例1、比 較例2 )反應管2之壓力(實施例3〜5 ),淸洗時間( 實施例6、7 )等,以調查溫度、壓力及時間會對於淸洗 效所賦予之影響。再者,該實驗乃爲了可簡便地進行,除 了實施例8之外,在晶舟9之上部、中央部、下部的3處 ,收容晶圓1 0各一片(合計3片)來進行試驗,並以收 容於各部之晶圓1 0的接觸角平均値做爲各例子的接觸角 。再者,晶圓1 0之片數增多時之淸洗效果,則以實施例 8來加以確認。將其結果顯示於表1及圖3。在圖3 ’有 關淸洗後之液滴接觸角乃以條形圖來表示。又爲了參考圯 見,對於未進行淸洗處理時之接觸角也在表1及圖3中予 以顯示。 如表1及圖3之實施例1、實施例2所示’反應管2 之溫度爲3 5 0 °C、4 0 0 °C時’可確認所附著於晶圓 1 0之有機物大致已完全去除。又如表1及圖3之比較例 1、比較例2所示,反應管2之溫度爲3 0 〇 °C、3 3 0 °C時,並無法去除所附著之有機物。其原因乃反應管2之 溫度較3 5 0 °C低時,並無法令氧氣及氫氣成爲活性化之 緣故,並不會生成〇*、〇Η *而無法分解有機物。再者’ 雖反應管2之溫度予以增高較3 5 0 °C以上’就有可能去 除所附著於晶圓1 0的有機物,但反應管2之溫度若增局 過度時,將會使晶圓1 〇表面產生氧化。因此,理想爲反 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -19 - (請先閱讀背面之注意事項再填寫本頁) _裝- 訂 經濟部智慧財產局員工消費合作社印製 541595 A7 B7 五、發明説明(17) 應管2之溫度最好在3 5 0〜6 0 0 t,更理想爲在於 350 °C 〜400 QC。 (請先閱讀背面之注意事項再填寫本頁) 如表1及圖3之實施例1、實施例3〜實施例5所示 ,確認了反應管2之壓力爲133Pa〜399Pa時, 大致完全可去除所附著於晶圓1 0之有機物。又反應管2 之壓力當超過3 9 9 P a時,恐無法均勻地來供應〇*、 〇Η *給予所收容於晶舟9之所有的晶圓1 〇,因此,理想 爲反應管2之壓力在於1 3 3 P a〜3 9 9 P a之範圍內 〇 如表1及圖3之實施例1、實施例6、實施例7所示 ,在淸洗時間爲1分鐘〜3 0分鐘時,確認了並無法完全 去除所附著於晶圓1 0之有機物。當淸洗時間較1分鐘更 短時,恐有無法大致完全去除所附著於晶圓1 0的有機物 之虞,當淸洗時間較3 0分鐘更長時,就無法有效率地來 進行淸洗晶圓1 0。因此,淸洗時間理想爲做成1分鐘〜 3 0分鐘。但伴隨著所會附著於晶圓1 0之有機物附著量 ,也可令時間更予以加長或縮短。 經濟部智慧財產局員工消費合作社印製 如表1及圖3之實施例1 、實施例8所示’晶舟9內 之晶圓之片數即使從3片增加爲1 〇 〇片’也確認了對於 去除所附著於晶圓1 0之有機物的去除效果’並不會賦予 任何影響。其原因爲反應管2內維持於低壓之緣故。因此 ,晶舟9內之晶圓1 〇之片數(數量)即使增加成例 1 〇 0片,反應管2之壓力,淸洗時間乃顯示同樣之趨勢 本纸張尺度適用中國國家標準(CNS ) A4規格(21〇X;297公釐) -20 - 541595 Μ Β7 五、發明説明(18) (請先閱讀背面之注意事項再填寫本頁) 再者,由接觸角法來測定時,一般容易受到要滴下純 水之平面(晶圓1 〇 )的影響,當由淸洗而晶圓1 〇之表 面形狀產生變化時,將會無法正確地測定有機物之附著量 。爲此,確認了淸洗前後時之晶圓1 〇的表面形狀。其結 果,確認晶圓1 0之表面形狀,在淸洗之前後幾乎無產生 變化。 如以上所說明,依據本實施形態,將從第1氣體引入 管1 3供應氧氣,從第2氣體引入管1 4供應氫氣給予反 應管2內,就可去除所附著於晶圓1 〇之有機物。因此, 能以簡便之熱處理裝置1來去除所附著於晶圓1 0的有機 物。再者,較習知之淸洗方法,能在低溫下來去除所附著 於晶圓1 0之有機物。又依據本實施形態’因不需要爲了 實施使用將後述之臭氧氣體的淸洗,而所需要之紫外線照 射裝置或電漿產生器等之臭氧產生器,因而,可令熱處理 裝置1之構造形成簡單。 依據本實施形態,能由一次之淸洗來去除收容於晶舟 9內之所附著於複數片晶圓1 0之有機物。因此,即使要 經濟部智慧財產局員工消費合作社印製 淸洗多數之晶圓1 0之場合,也可縮短淸洗所需要之時間 0 以下,將記述有關上述實施形態的變形形態。 於上述實施形態,做爲氧化性氣體及使用氧氣’做爲 還原性氣體乃使用氫氣。但做爲氧化性氣體也可使用從〇2 、N2〇、NO群所選擇之至少其中之一的氣體。又做爲還 原性氣體,也可採用從Η 2、N Η 3、C Η 4群所選擇之至 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -21 - 541595 A7 B7 五、發明説明(19) 少其中之一的氣體。該狀態時,也可由如在前述之還原性 氣體的燃燒過程中所生成之氧活性種和羥基活性種來去除 所附著於晶圓1 〇之有機物。再者,對於氧化性氣體及還 原性氣體,甚至使用氧氣或氫氣以外之上述之氣體時’也 可由與使用氧氣及氫氣時之場合同樣之反應管2的溫度, 反應管2內之壓力等的淸洗條件,就可去除所附著於晶圓 1 0之有機物。 於上述實施形態,雖使用由內管3和外管4所形成之 雙重管構造的反應管2之熱處理裝置1來去除所附著於晶 圓1 0之有機物,但也可使用如從圖1所示之熱處理裝置 1拿走內管3及支承環6之單管構造之熱處理裝置。該狀 態時,可令熱處理裝置之構造成爲簡單。 於上述實施形態,雖使用分批(整批)式縱向型之熱 處理裝置1來去除所附著於晶圓1 0之有機物,但也可使 用單片式之熱處理裝置。該狀態時,也能以簡單裝置來去 除所附著於晶圓1 0之有機物。又能在低溫下來去除所附 著於晶圓1 0之有機物。 又第1氣體引入管13及第2氣體引入管14之數量 並不限定於各1支,也可爲複數支。又被處理體也並不限 定於晶圓1 0,也可爲例如玻璃基板。 (第2實施形態) 其次,本發明之第2實施形態,將使用圖4所示之分 批式縱向型熱處理裝置,且由含有臭氧之處理氣體來分解 (請先閲讀背面之注意事項再填寫本頁)
-、1T 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -22 - 541595 A7 B7 五、發明説明(20) ’去除(淸洗)所附著於晶圓(被處理體)上之有機物之 狀態爲例子加以說明。 (請先閱讀背面之注意事項再填寫本頁) 如圖4所示,熱處理裝置1 〇 1具備有長軸方向朝垂 直方向之形成爲具頂部之圓筒狀的單管構造之反應管 102。反應管102係由耐熱材料,例如石英來形成。 反應管1 0 2下方乃配置有由不銹鋼(SUS)來形 成筒狀之歧管1 0 3。歧管1 0 3係與反應管1 〇 2之下 端連接成氣密狀。 歧管103下方配置了蓋體1〇4,蓋體104可由 未圖示之晶舟升降機來可上下移動。處理室1 〇 3 a係由 該蓋體1 04,和反應管102及歧管103所構成。而 在蓋體1 0 4上升且接觸於歧管1 〇 3時,可封閉歧管 1 0 3下方側,使得處理室1 0 3 a成爲密閉。 經濟部智慧財產局員工消費合作社印製 蓋體1 0 4載置有由石英所形成之晶舟1 0 5。晶舟 1 0 5收容有複數片之被處理體,例如晶圓1 0 6朝垂直 方向隔著所定間隔來收容。所收容於晶舟1 〇 5之晶圓 1 0 6係由插入晶舟1 0 5於反應管1 0 2內來配置於處 理室1 0 3 a內部,而該晶圓1 〇 6之配置區域乃構成在 處理室1 0 3 a的處理區域1 0 3 b。又處理區域 1 0 3 b之周圍構成爲非處理區域。 反應管1 0 2乃形成爲在插入晶舟1 〇 5於反應管 1 0 2內之狀態下,能在反應管1 〇 2之內壁面和裝載於 晶舟1 0 5之晶圓1 0 6端部間具有空隙D的大小。該空 隙D係考慮臭氧之流量,反應管1 〇 2內部之壓力’反應 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -23 - 541595 A7 B7_ 五、發明説明(21) 管1 Ο 2之高度等,而設定成例如2 0 m m〜5 0 m m左 右之大小。 (請先閱讀背面之注意事項再填寫本頁) 於反應管1 Ο 2周圍配設有由電阻發熱體所形成之溫 升用加熱器1 0 7形成圍繞著反應管1 〇 2,而使溫升用 加熱器1 0 7動作時,可設定反應管1 〇 2內成爲所定之 溫度。 在於處理室1 0 3 a之處理區域1 0 3 b之一方側( 於本實施形態爲處理區域1 〇 3 b之下方)的非處理區域 1 0 3 c ,乃配設有處理氣體供應管1 0 8。於本實施形 態,處理氣體供應管1 0 8插穿於歧管1 0 3側面。該處 理氣體供應管1 0 8乃爲了防置管腐蝕而使用特氟隆(聚 四氟乙烯)配管。處理氣體供應管1 0 8係形成爲其前端 部分1 0 8 a朝晶圓1 0 6之收容位置’亦即朝處理區域 1 0 3 b方向(上方)彎曲的彎曲形狀,且在前端部分 1〇8 a具備朝向處理區域1 0 3 b之處理氣體引入部 1 0 8 b。爲此,從處理氣體供應管1 0 8之處理氣體引 經濟部智慧財產局員工消費合作社印製 入部1 0 8 b所供應之包含有臭氧的處理氣體,將會朝著 反應管1 0 2上側噴出。又前端部分1 〇 8 a係配設於可 從處理氣體供應管1 0 8朝上方噴出之處理氣體,會通過 處理區域1 0 3 b外(例如圖4所示之相當於空隙d之空 間)來供應於處理區域1 0 3 b之另一方側,亦即會供應 於反應管1 0 2上部的位置。 處理氣體供應管1 0 8連接於臭氧產生器1 〇 9。臭 氧產生器1 0 9係由例如電漿產生器等所構成,以氧爲基 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297^ ) 「24 - ' 541595 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(22) 來生成臭氧。於臭氧產生器1 0 9 ,藉淨化器1 1 〇來連 接氧氣供應管1 1 1和添加氣體供應管1 1 2 °而來自氧 氣供應管1 1 1之氧氣,及來自添加氣體供應管1 1 2之 氮氣或二氧化碳所形成的添加氣體,將供予淨化器1 1 〇 ,並由淨化器1 1 0來製成適合於產生臭氧之純度(要抑 制產生不純物,尤其由水分所引起之腐蝕性氣體)’而供 予臭氧產生器1 0 9。 在非處理區域1 0 3之歧管1 0 3側面,配設有排氣 口 1 1 3。排氣口 1 1 3係配設在與非處理區域1 〇 3之 處理氣體供應管1 0 8成相對向之位置,用於排氣反應管 1 0 2內之氣體。 在排氣口 1 1 3連接有排氣管1 1 4成氣密。且從排 氣管1 1 4上流側依序介居配設有組合閥1 1 5、真空泵 1 1 6。組合閥1 1 5乃用於調整排氣管1 1 4之打開度 ,以控制反應管1 0 2內及排氣管1 1 4內成所定之壓力 。真空泵1 1 6係藉排氣管1 1 4來排氣反應管1 0 2內 之氣體的同時,予以調整反應管1 0 2內及排氣管1 1 4 內之壓力。 歧管1 0 3側面之排氣口 1 1 3的下方,插穿有供應 淸除氣體,例如氮氣用的淸除氣體供應管1 1 7。 又在臭氧產生器109,淨化器110,氧氣供應管 1 1 1,添加氣體供應管1 1 2,組合閥1 1 5,真空泵 1 1 6及淸除氣體供應管1 1 7連接有控制部1 2 0。控 制部1 2 0係由微處理器、程序控制器等所構成,而以測 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -25 - -----------0^----τ--1T------0 (請先閲讀背面之注意事項再填寫本頁) 541595 A7 __—_B7 五、發明説明(23) 定熱處理裝置1 〇 1之各部分之溫度、壓力等,且依據所 測定之資料(數據)來輸出控制信號至上述各部分,而控 制熱處理裝置1 〇 1之各部分。 (請先閲讀背面之注意事項再填寫本頁) 接著’將參照圖5所示之處方(時序)來說明有關使 用熱處理裝置1 〇 1而由含有臭氧之處理氣體來淸洗所附 著於晶圓1 0 6上之有機物的處理方法。再者,在以下之 說明中,要構成熱處理裝置i 〇 1之各部分的動作,乃由 控制部1 2 0所控制著。 首先’以降下蓋體1 〇 4之狀態下,載置收容有附著 有機物之晶圓1 〇 6的晶舟1 0 5於蓋體1 0 4上。又由 溫升用加熱器107加熱反應管102 (處理室103a )內成例如3 0 〇 °C之所定溫度(裝載溫度)。 經濟部智慧財產局員工消費合作社印製 其次’由未圖示之晶舟升降機來上升蓋體1 〇 4,以 裝載晶舟105 (晶圓106)於處理室103a內。由 而’可收容晶圓1 〇 6於處理室1 0 3 a內之同時,會密 閉處理室1 0 3 a。又從淸除氣體供應管1 1 7以所定流 量’例如約2 0公升/分鐘來供應氮氣(N 2 )至處理室 1 〇 3 a內,而排出處理室1 〇 3 a內所混進之有機物等 的污染物質。該氮氣之供應乃進行所定時間,例如約 5 · 5分鐘(裝載過程)。 接著,開始減壓處理室1 〇 3 a內。具體地說明時’ 從處理氣體供應管1 1 7供應所定流量,例如2 0公升/ 分鐘之氮氣給予處理室1 0 3 a內之同時,控制組合閥 1 1 5之打開度下來驅動真空泵1 1 6而排出處理室 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -26 _ 541595 A7 B7 五、發明説明(24) (請先閱讀背面之注意事項再填寫本頁) 1 0 3 a內之氣體。而排出處理室1 0 3 a內之氣體則要 從處理室1 0 3 a內壓力爲常壓進行直至形成所定之壓力 ,例如 13.3Pa 〜26600Pa (0· ITorr 〜200Torr)爲止。又由溫升用加熱器107來力口 熱處理室1 0 3 a內成所定之溫度(淸洗溫度),例如 3 0 〇 °C〜6 0 0 °C。並進行該減壓及加熱操作進行所定 時間,例如約1 7分鐘,以令處理室1 0 3 a內能形成穩 定於所定之壓力及溫度(穩定化過程)。 當處理室1 0 3 a內形成穩定於所定之壓力及溫度時 ,就停止供應來自淸除氣體供應管1 1 7之氮氣。並從氧 氣供應管1 1 1以例如1公升/分鐘〜1 0公升/分鐘之 所定流量的氧氣,又從添加氧氣供應管1 1 2以例如 〇.0 0 8公升/分鐘〜0 . 0 8公升/分鐘的所定流量 之氮氣來供予淨化器1 1 0。而所供應之氧氣及氮氣,將 在淨化器1 1 0製成適合於臭氣產生之狀態來供予臭氧產 生器1 0 9。 經濟部智慧財產局員工消費合作社印製 在於臭氧產生器1 0 9 ,將由例如未圖示之電漿產生 器來照射電漿於所供應之氧而生成臭氧。而後,從臭氧產 生器1 0 9藉處理氣體供應管1 〇 8 (處入氣付引入部 8 b )以所定流量,例如約1公升/秒鐘〜1 〇公升/秒 鐘供應含有所定濃度,例如5 0 g / N m 3〜3 0 0 g/Nm3(2·35v〇1%〜14.lvo1%)之臭 氣的處理氣體至處理室1 〇 3 a內,而使處理氣體可到達 於反應管1 0 2之頂部(亦即,晶舟1 〇 5上部)。有關 ^紙張尺度適用中國國家標準( CNS ) A4規格(210X 297公釐) =27 : 541595 A7 B7 五、發明説明(25) 供應處理氣體至處理室1 〇 3 a內則進行例如5分鐘〜 3 0分鐘(淸洗過程)。 (請先閱讀背面之注意事項再填寫本頁) 而在連接於處理氣體供應管1 〇 8之臭氧產生器 1 0 9,因氧氣以外,也供應有氮氣,使得可增進在臭氧 產生器所生成之臭氧的產生效率。又由於供應氮氣而在處 理氣體中雖會含有N〇X,但處理氣體供應管1 〇 8乃使 用特氟隆之配管,使得Ν Ο X難於腐鈾處理氣體供應管 1 0 8。因此,並不會具有由處理氣體供應管1 08之腐 蝕的污染物質混進處理室1 0 3 a內之虞。 處理室1 0 3 a內因加熱成3 0〇°C〜6 0〇°C,因 而,當供應處理氣體於處理室1 0 3 a內時,處理氣體中 之臭氧會成活性化而生成氧原子自由(游離)基(〇* )。 且可令含有氧原子自由基之處理氣體移動至反應管1 〇 2 頂部。 經濟部智慧財產局員工消費合作社印製 反應管1 0 2係被形成爲單管構造,且設有空隙於反 應管1 0 2內壁和晶圓1 0 6端部之間,因而,可獲得所 定之排氣流導,且使臭氧難以成爲鈍化(亦即,可維持臭 氧之活性化狀態)。又可容易地維持處理室1 〇 3 a內成 低壓。再者,前端部分1 〇 8 a乃彎曲成可令處理氣體通 過處理氣體處理區域1 0 3 b外來供應於反應管1 〇 2上 方。因此,可增進處理室1 0 3 a內之流導(conductance )’使得可維持臭氧之活性狀態之同時,容易維持處理室 1 〇 3 a內成低壓。 而到達於反應管1 0 2頂部之處理氣體,可由來自真 本紙張尺度適ϋ國國家標準(CNS ) A4規格(210X297公釐) ^28 - '~' — 541595 Α7 Β7 五、發明説明(26) 空泵1 1 6之吸引來供應於處理區域1 〇 3 b。該時’處 理室103a內之壓力因維持於如13·3Pa〜 (請先閱讀背面之注意事項再填寫本頁) 2 6 6 0 0 P a (0· ITorr 〜200Torr)之 低壓狀,因而,可均勻地供應處理氣體至處理區域1 〇 3 b。又將處理氣體一旦使之到達於反應管1 0 2頂部時’ 就可均勻地供應處理氣體給予處理區域1 〇 3 b。其理由 乃處理氣體之流速會變爲慢,使得在供應處理氣體於處理 區域1 0 3 b時,並不會受到由流速所引起之影響。 再者,排氣口 1 1 3因配置成與處理氣體供應管 1 0 8成相對向,以致在供應處理氣體於處理區域 1 0 3 b時,難以受到從處理氣體供應管1 0 8所供應之 處理氣體的影響,而可增進處理室1 0 3 a內之排氣流導 。因此,可維持臭氧之活性化狀態之同時,可均勻地供應 處理氣體於處理區域1 0 3 b。 經濟部智慧財產局員工消費合作社印製 當供應處理氣體給予處理區域1 0 3 b時,可由處理 氣體中之氧原子自由基來分解所附著於晶圓1 0 6的有機 物,而從晶圓1 〇 6去除有機物。再者,所去除之有機物 ,將藉排氣口 1 1 3被排氣管1 1 4所吸引,並排氣至反 應管1 0 2外。 當從晶圓1 0 6去除有機物時,就停止從處理氣體供 應管10 8之供應處理氣體(氮氣,氧氣,臭氧)。而以 控制組合閥1 1 5之打開度之同時,驅動真空泵1 1 6來 排出處理室1 〇 3 a內之氣體之後,從淸除氣體供應管 1 1 7以所定流量,例如1 〇公升/分鐘來供應氮氣,而 本紙張尺度適用中國國家榡準(CNs ) μ規格(210X 297公釐)-29 - 541595 Α7 Β7 五、發明説明(27) 排出處理室1 0 3 a內之氣體至排氣管1 1 4。該從淸除 氣體供應管1 1 7之供應氮氣,乃進行例如1 0分鐘(淸 除過程)。再者,爲了能確實地排出處理室1 0 3 a內之 氣體,理想爲重複地進行排出處理室1 0 3 a內之氣體及 供應氮氣複數次。 最後,從淸除氣體供應管1 1 7以所定流量,例如 2 0公升/分鐘來供應氮氣約5 . 5分鐘,以令處理室 1〇3 a內恢復成常壓(7 6 Ο T 〇 r r ),並從處理室 1 0 3 a卸載裝載有晶圓1 0 6之晶舟1 0 5。 隨即,以種種之條件下來進行淸洗附著有機物之晶圓 1 0 6。而成膜1 0 0 0埃(A )厚度之氧化膜於晶圓 1 0 6,並以稀釋氫氟酸(D H F )洗淨該氧化膜表面1 分鐘後,放置於淸潔室內2 0 0小時而製成附著有有機物 之晶圓1 0 6之樣品。 在此,也使用接觸角法來測定有機物之附著量。又測 定晶圓1 0 6上之5點,要求出該平均値。所製成之樣品 的接觸角爲3 6 ° 。再者,即使滴下純水於完全去除有機 物的晶圓1 0 6上,純水之水珠的接觸角並不會成爲〇° ,又在低角度下,要嚴格地測定接觸角極爲困難,因此, 接觸角爲2度以下之晶圓1 〇 6 ,就思爲大致已完整地去 除有機物者。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -30 - --------^裝-- (請先閱讀背面之注意事項再填寫本頁) 、11 經濟部智慧財產局員工消費合作社印製 541595
7 B 五、發明説明(28) 經濟部智慧財產局員工消費合作社印製 表2 溫度 (°C ) 壓力 (Pa) 時間 (分) 臭氧濃度 (vol%) 添加氣體 處理片數 (片) 接觸角 ⑺ (deg) 接觸角 (C) (deg) 接觸角 (B) (deg) 實施例1 300 133 30 7.05 N2 3 1.4 1.2 1.1 實施例2 400 133 30 7.05 N2 3 1.4 1.3 1.3 實施例3 500 133 30 7.05 N2 3 1.5 1.3 1.3 實施例4 600 133 30 7.05 Νι 3 1.7 1.5 1.5 實施例5 200 133 30 7.05 N2 3 1.0 10.5 11.6 實施例6 200 26600 30 7.05 N2 3 1.7 1.7 1.9 實施例7 300 26600 30 7.05 N2 3 1.4 1.4 1.3 實施例8 300 133 30 7.05 N2 3 1.5 1.4 1.9 實施例9 300 133 30 2.35 N2 3 1.7 1.6 1.8 實施例10 300 133 30 14.1 N2 3 1.2 1.2 1.0 實施例11 300 133 30 7.05 N2 100 1.5 1.2 1.3 實施例12 300 133 30 7.05 C〇2 3 2.0 1.3 1.3 實施例13 300 133 30 7.05 C〇2 100 2.0 1.3 1.5 比較例1 600 133 30 0 N2 3 17.7 15.5 15.7 比較例2 RT 133 30 7.05 N2 3 35 36.3 32.7 比較例3 100 133 30 7.05 N2 3 29.1 28 28.2 比較例4 300 133 30 0 N2 3 34.4 33.7 34.9 未處理 — 一 — 一 — 35.5-36.2 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -31 - 541595 Α7 Β7 i、發明説明(29) (請先閲讀背面之注意事項再填寫本頁} 將顯示淸洗條件於表2。如表2所示,予以變化處理 室1 〇 3 a之溫度(實施例1〜實施例4,比較例2、比 較例3 ),處理室1 0 3 a之壓力(實施例7 ) ’淸洗時 間(實施例8 ),處理氣體中的臭氧濃度(實施例9、實 施例1 0 )、添加氣體之種類(實施例1 2、實施例1 3 )等來進行淸洗,並調查溫度、壓力及時間會對於淸洗效 果所賦予的影響。又爲可簡便地進行實驗,將收容晶圓 1 0 6各1片(合計3片)於晶舟1 0 5上部(T )、中 央(C )、下部(B )的3個地方來進行試驗。再者,要 裝載於晶舟1 0 5之晶圓1 0 6之片數增加時之淸洗效果 ,係以實施例1 1及實施例1 3來確認。該結果’將顯示 於表2及圖6。於圖6,對於各例子乃以3支之條形圖來 表示,而從左側算起各表示在上部,中央,下部的所附著 於晶圓1 0 6之有機物的附著量。又,爲了參考’對於未 進行淸洗處理之場合,習知之由氧氣所進行之淸洗的場合 (比較例1 ),以習知之淸洗方法來降低處理室1 0 3 a 之溫度的場合(比較例4 ),如表示其結果於表2及圖6 〇 經濟部智慧財產局員工消費合作社印製 如表2及圖6之實施例1〜實施例4所示,當處理室 1 0 3 a之溫度爲3 0 0 °C〜6〇0 °C時,確認了較習知 之由氧氣所進行之淸洗(比較例1 ),可令晶圓1 0 6之 有機物附著量成爲約1 / 1 0之量。且該等之接觸角爲 2 ϋ以下,而確認所附著於晶圓1 0 6之有機物大致已完 全去除。因此,可察明較習知之由氧氣所進行之淸洗,能 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -32 - 541595 A7 B7 五、發明説明(3〇) 增進有機物之去除效率。 (請先閱讀背面之注意事項再填寫本頁) 又在表2及圖6之實施例5、實施例6所示,當處理 室1〇3 a之溫度爲2〇0°C,而處理室1 0 3 a之壓力 爲低壓(1 3 3 P a )時,晶圓1 0 6之有機物附著量, 可減少較習知之由氧氣所進行之淸洗之減少約1 / 3 ,並 在高壓(1 6 6 6 0 0 P a )時,就可大致完全去除所附 著於晶圓1 0 6之有機物。 再者,如表2及圖6之比較例2,比較例3所示,處 理室1 0 3 a之溫度爲室溫(R T )及1 〇 〇 t時,就無 法去除所附著於晶圓1 0 6的有機物。其理由係因處理室 1 0 3 a之溫度低而無法令處理氣體中之臭氧成爲活性化 ,以致無法生成氧原子自由基,使得無法分解有機物。因 此,理想爲處理室1 0 3 a之溫度應成爲2 0 0 t:〜 6 0 0。。。 經濟部智慧財產局員工消費合作社印製 再者,處理室1 03 a之溫度爲200 °C時,將處理 室1 0 3 a之壓力使之成爲2 6 6 0 OP a時,可大致完 全去除所附著於晶圓1 0 6之有機物,但處理室1 〇 3 a 之壓力爲1 3 3 P a時,就無法可大致完全地去除有機物 。又令處理室1 0 3 a之溫度成爲較6 0 〇°C更高時,雖 可大致完全去除所附著於晶圓1 0 6的有機物,但具有晶 圓1 0 6會被熱氧化之虞。再者,處理室1 0 3 a之溫度 會成爲與習知之淸洗方法相同。因此,理想爲令處理室 103a之溫度成爲3〇0°C〜50CTC。 如表2及圖6之實施例1、實施例7所示,處理室 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) · 33 - 經濟部智慧財產局員工消費合作社印製 541595 A7 B7______ 五、發明説明(31) l〇3a之壓力爲133Pa〜26600Pa時,確認 可大致完全去除所附著於晶圓1 0 6之有機物。又處理室 1 0 3 a之壓力超過2 6 6 0 0 P a時,就會形成難以均 勻地供應處理氣體給予處理區域1 0 3 b。因此,處理室 103a之壓力,理想爲做成133Pa〜26600 Pa 。 如表2及圖6之實施例1、實施例8所示,淸洗時間 爲5分鐘〜3 0分鐘時,確認已大致去除所附著於晶圓 1 0 6之有機物。淸洗時間倘若短於5分鐘,則具有無法 大致完全去除所附著於晶圓1 0 6的有機物之虞。而淸洗 時間若較3 0分鐘長時,就無法有效率地進行晶圓之淸洗 。因此,淸洗時間理想爲5分鐘〜3 0分鐘。但可由所附 著於晶圓1 0 6之附著量,而可更加長或縮短該時間。 如表2及圖6之實施例1、實施例9、實施例1 〇所 示,處理氣體中之臭氧濃度爲2.35vo1%〜 1 4 · 1 v ο 1 %時,確認可大致完全去除所附著於晶圓 1 0 6之有機物。倘若臭氧濃度低於2 . 3 5 v 〇 1 %時 ,就具有並無法大致完全地去除所附著於晶圓1 〇 6的有 機物之虞,又即使令臭氧濃度高於1 4 . 1 v 〇 1 %,也 可思爲不會對於去除有機物賦予影響。因此,處理氣體中 之臭氧濃度理想爲做成2 · 3 5 v ο 1 %〜1 4 · 1 v ο 1 %。但由所附著於晶圓1 0 6之有機物的附著量, 也可令該濃度做成更高或予以降低。 如表2及圖6之實施例1、實施例1 1所示,甚至晶 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇'乂297公釐Ί - 34 - ' 裝 „ 訂 (請先閲讀背面之注意事項再填寫本頁) 541595 A7 B7 五、發明説明(32) (請先閱讀背面之注意事項再填寫本頁) 舟1 0 5內之晶圓1 06之片數由3片增加爲1 00片, 也確認對於要去除所附著於晶圓1 0 6之有機物並不會賦 予影響。其理由乃由於增進了處理室1 0 3 a內之流導, 且維持處理室1 〇 3 a內成低壓之緣故。因此,晶舟 1 0 5內之晶圓1 〇 6之片數,即使增加成例如1 0 〇片 ,也能使處理室1 0 3 a之壓力,淸洗時間,處理氣體中 之臭氧濃度顯示同樣之傾向。 如表2及圖6之實施例1 、實施例1 1〜實施例1 3 所示,從添加氣體供應管1 1 2所供應之添加氣體的種類 ,即使從氮氣變更爲二氧化碳,也確認並不會對於去除所 附著於晶圓1 0 6之有機物乙事賦予影響。再者,在臭氧 產生器1 0 9所生成之處理氣體中,將不會含有NO X而 可令用於供予處理氣體於處理室1 0 3 a內的處理氣體供 應管1 0 8成爲難以腐蝕。 經濟部智慧財產局員工消費合作社印製 再者,接觸角法,一般容易受到要滴下純水之平面晶 圓1 0 6之表面狀態的影響,倘若由於淸洗而使晶圓 1 0 6之表面形狀產生變化時,有可能無法正確地測定有 機物之附著量。爲此,進行在淸洗前後之晶圓1 〇 6的表 面狀態。其結果,確認晶圓1 0 6之表面形狀在於淸洗前 後,幾乎未產生變化。 如以上所說明,依據本實施形態,因加熱處理室 1 0 3 a成可令臭氧成爲活性化之溫度(2 0 0 °C以上) ,且供應含有臭氧之處理氣體給予處理室1 0 3 a內,使 得較習知之由氧氣所進行的淸洗,可增進所去除附著於晶 本纸張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -35- 541595 Μ ____——__Β7_ 五、發明説明(33) (請先閲讀背面之注意事項再填寫本頁) 圓1 Ο 6之有機物的去除效率。尤其,加熱處理室 1 0 3 a成3 0 0 °C〜5 〇〇 °C,且供予含有臭氧之處理 氣體至該處理室1 0 3 a內時,就較習知之由氧氣所進行 的淸洗者,可在低溫下來增進去除所附著於晶圓1 〇 6之 有機物的去除效率。 依據本實施形態,反應管1 〇 2形成爲單管構造,且 配設有空隙D於反應管1 〇 2之內壁和晶圓1 〇 6端部之 間’使得可容易維持臭氧之活性化狀態。又容易維持處理 室1 0 3 a內成低壓,使得可均勻地供予處理氣體至處理 區域1 0 3 b。因此,可由一次之淸洗處理,而同時去除 所附著於複數片之晶圓1 〇 6的有機物。 依據本實施形態,處理氣體供應管1 〇 8之前端部分 1 0 8 a因予以彎曲成處理氣體可通過處理區域外 103b來供應至反應管1〇2上方(頂部),因而,可 增進處理室1 0 3 a內之流導,使得容易維持臭氧之活性 化狀態。又容易維持處理室1 0 3 a內成低壓,使得可均 經濟部智慧財產局員工消費合作社印製 .勻地供應處理氣體至處理區域1 0 3 b。因此,可由一次 之淸洗處理來同時去除所附著於複數片之晶圓1 0 6的有 機物。 依據本實施形態,因構成一旦令處理氣體到達反應管 1 0 2之頂部,可由來自真空泵1 0 6之吸引供應於處理 區域1 0 3 b,因而可均句地供處理氣體給予處理區域 1 〇 3 b 〇 依據本實施形態,在於臭氧產生器1 0 9,供應除了 $紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 「36 - 541595 A7 B7 一· — -- -------- - - - _ 五、發明説明(34) 氧氣之外供予氮氣,因而,可增進在臭氧產生器所生成之 臭氧產生效率。 (請先閱讀背面之注意事項再填寫本頁) (第3實施形態) 接著,本發明之實施形態,以使用圖7所示之分批( 整批)式縱向型熱處理裝置而由含有臭氧之處理氣體實施 分解,去除(淸洗)所附著於晶圓上之有機物,而後供應 水蒸氣於已淸洗的晶圓,以形成矽氧化膜之薄膜時爲例來 加以說明。 如圖7所示,熱處理裝置1 2 1 ,除了更配設了用於 供應由水蒸氣所形成之成膜氣體給予已淸洗完成的晶圓之 成膜氣體供應管1 2 2之處外,其他乃與第2實施形態之 熱處理裝置101爲相同之構造。 經濟部智慧財產局員工消費合作社印製 成膜氣體供應管1 2 2乃配置於非處理區域1 0 3 c ,而插穿於歧管1 0 3側面。成膜氣體供應管1 2 2係連 接於未圖示之燃燒裝置、燃燒裝置乃以燃燒氧氣和氫氣來 產生水蒸氣,並供應該水蒸氣給予成膜氣體供應管1 2 2 。又成膜氣體供應管1 2 2係彎曲其前端部分1 2 2 a可 朝處理區域1 0 3 b之方向(上方)來形成彎曲形狀。因 此,從成膜氣體供應管1 2 2所供應之成膜氣體,將會朝 反應管1 0 2上方噴出。又成膜氣體供應管1 2 2前端部 分1 2 2 a係配設成與處理氣體供應管1 0 8之前端部分 1 0 8 a同樣,位於朝上方所噴出之成膜氣體,將通過處 理區域1 0 3 b外面(例如圖7所示,由空隙D所形成之 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) .37 - "~ 541595 A7 B7 五、發明説明(35) 空間)而供應於處理區域1 〇 3 B之上方(反應管1 0 2 之頂部)的位置。 (請先閲讀背面之注意事項再填寫本頁) 其次,將參照圖8所示之處方(時序)來說明有關以 使用熱處理裝置121來由含有臭氧之處理氣體淸洗所附 著於晶圓1 0 6上之有機物,進而對於所淸洗之晶圓 1 0 6形成矽氧化膜(薄膜)之方法。再者,在於本實施 形態之裝載過程,穩定化過程及淸洗過程,因與第2實施 形態之裝載過程,穩定化過程及淸洗過程爲同樣者,因此 ,省略該等之說明,而從第1淸除(排淨,Purge )過來說 明。又構成熱處理裝置1 2 1之各部分動作乃由控制部 1 2 0所控制著。 經濟部智慧財產局員工消費合作社印製 如圖8所示,當由裝載過程、穩定化過程及淸洗過程 來從晶圓1 0 6去除有機物時,就停止供應來自處理氣體 供應管1 0 8之處理氣體。而後,控制組合閥1 1 5之打 開度之同時,驅動真空泵1 1 6來排出處理室1 〇 3 a內 之氣體後,從淸洗氣體供應管1 1 7以所定流量,例如 1 0公升/分鐘來供應氮氣,以令處理室1 〇 3 a內之氣 體排出於排氣管1 1 4。排出處理室1 〇 3 a內之氣體, 要進行直至處理室1 〇 3 a內之壓力成爲所定壓力,例如 532〇0Pa (400Torr)爲止。又由溫升用加 熱器1 0 7來加熱處理室1 〇 3 a內成爲所定溫度,例如 7 5 0 °C。並進行該減壓及加熱操作所定時間,以令處理 室1 0 3 a內能在所定之壓力及溫度形成穩定(第1淸除 過程)。 -38- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 541595 A7 B7 五、發明説明(36) (請先閲讀背面之注意事項再填寫本頁) 當處理室1 0 3 a內以所定之壓力及溫度成爲穩定時 ,就停止供應來自淸除氣體供應管1 1 7之氮氣。並從成 膜氣體供應管1 2 2供應所定流量之水蒸氣形成可到達於 反應管1 0 2之頂部(晶舟1 0 5之上方)。而到達於反 應管1 0 2頂部之水蒸氣,將由來自真空泵1 0 6之吸引 力而供予處理區域1 0 3 b。當供應水蒸氣給予處理區域 1 0 3 b時,會在晶圓1 0 6進行濕式氧化,而形成矽氧 化膜之薄膜於晶圓1 0 6 (薄膜形成過程)。 因令水蒸氣一旦到達於反應管1 〇 2之頂部,因而, 可均勻地供應水蒸氣給予處理區域1 0 3 b。爲此,可形 成均勻之矽氧化膜於晶圓1 0 6。 經濟部智慧財產局員工消費合作社印製 又由於以同一之熱處理裝置1 2 1來進行去除所附著 於晶圓1 0 6之有機物(淸洗過程)及對於已去除有機物 之晶圓1 0 6形成矽氧化膜(薄膜形成過程),因而,可 容易地形成矽氧化膜於晶圓1 0 6。又在從淸洗過程移至 薄膜形成過程時,並不需要搬運所淸洗之晶圓1 0 6,因 此,從淸洗過程直至薄膜形成過程爲止之間,並不具有會 附著有機物至晶圓1 0 6之虞。 當形成砍氧化膜於晶圓1 0 6時,就停止供應來自成 膜氣體供應管1 2 2之水蒸氣。而控制組合閥1 1 5之打 開度之同時,驅動真空泵1 1 6來排出處理室1 〇 3 a內 之氣體後,從淸除氣體供應管1 1 7供應所定流量,例如 1 0公升/分鐘之氮氣例如1 0分鐘(第2淸除過程)。 再者,爲了確實地排出處理室1 0 3 a內之氣體,理想爲 -39 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 541595 A7 B7 五、發明説明(37) 重複地實施排出處理室1 0 3 a內之氣體及供應氮氣複數 次。 (請先閱讀背面之注意事項再填寫本頁) 最後,從淸除氣體供應管1 1 7供應所定流量,例如 2 0公升/分鐘之氮氣約5 · 5分鐘,以令處理室 103a內恢復成常壓(760 Tor r),並從處理室 103 a卸載晶舟10 5 (晶圓106)(卸載過程)。 以下,將說明有關第2及第3之實施形態的變形形態 〇 在第2實施形態,雖使用分批(整批)式縱向型熱處 理裝置1 0 1來去除所附著於晶圓1 0 6之有機物,但也 可使用單片式之熱處理裝置。該時,也能在低溫下,以良 好之效率來去除所附著於晶圓1 0 6之有機物。 在第3實施形態,雖以同一之熱處理裝置1 2 1來進 行去除所附著於晶圓1 0 6之有機物及對於晶圓1 0 6形 成矽氧化膜之過程,但也可令各過程由各別之裝置來進行 〇 經濟部智慧財產局員工消費合作社印製 在第3實施形態,雖以供應水蒸氣給予已去除有機物 之晶圓1 0 6來形成矽氧化膜,但也可供應臭氧給予已去 除有機物之晶圓1 0 6來形成矽氧化膜。該狀態時,並不 需要成膜氣體供應管1 2 2,由而可令熱處理裝置1 2 1 形成爲簡單之構造。又要形成於晶圓1 0 6之薄膜,並不 限定於矽氧化膜而已,也可爲其他.薄膜,例如矽氮化膜。 於第2及第3之實施形態,反應管1 0 2乃形成單管 構造,並配設空隙D於反應管1 〇 2之內壁和晶圓1 0 6 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -40 - 541595 A7 _ B7 ___ 五、發明説明(38) (請先閱讀背面之注意事項再填寫本頁) 之端部間,但反應管1 0 2只要具有能維持臭氧之活性狀 態的流導即可,因此,也可形成爲例如內管和外管所構成 之雙重管構造。 在第2及第3之實施形態,處理氣體供應管1 〇 8 ( 成膜氣體)供應管1 2 2雖構成爲配置於非處理區域 1 〇 3 c ,且供應處理氣體(成膜氣體)成可到達於反應 管1 0 2之頂部,而由排氣處理室1 0 3 a內之氣體來供 處理氣體(成膜氣體)給予處理區域1 0 3 b,但也構成 爲配置處理氣體供應管1 0 8 (成膜氣體供應管1 2 2 ) 於反應管1 0 2頂部,以供處理氣體(成膜氣體)給予處 理區域1 0 3 b。 在第2及第3之實施形態,處理氣體供應管1 0 8之 處理氣體引入部1 0 8 b (成膜氣體供應管1 2 2之前端 部分1 2 2 a )雖在於非處理區域1 〇 3 c內,但該部分 之長度可爲任意之長度,即使成爲較圖4及圖7所示之長 度爲長或短也可。又處理氣體引入部1 0 8 b (前端部分 1 2 2 a )也可爲多孔式(分散式)之管嘴。 經濟部智慧財產局員工消費合作社印製 裝載溫度和淸洗溫度理想爲大致形成相等。例如在第 2實施形態之淸洗溫度理想爲做成3 0 0 °C。該狀況時, 就不需要爲了淸洗過程而實施溫度操控。 於第2實施形態’淸洗過程也可在從裝載溫度溫升爲 成膜溫度之期間進行。該場合時,可同時實施淸洗過程及 升高溫度爲成膜溫度之情事,使得可縮短晶圓1 〇 6之薄 膜形成時間。 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 「41 -~' 541595 A7 B7 五、發明説明(39) (請先閲讀背面之注意事項再填寫本頁) 臭氧產生器1 0 9,並不限定於供應氧氣和氮氣或二 氧化碳者。也可例如僅連接氧氣供應管1 1 1於淨化器 1 1 0,且僅供予氧氣於臭氧產生器1 09。甚至在於該 狀況下,也可由臭氧產生器1 〇 9來產生臭氧。 處理氣體供應管1 〇 8及成膜氣體供應管1 2 2之數 量並不限定於1支而已,也可爲複數支。又被處理體並非 僅限定於晶圓1 0 6,也可爲例如玻璃基板。 〔圖式之簡單說明〕 圖1係顯示依據本發明的處理裝置之第1實施例的槪 略圖。 圖2係顯示用於說明由圖1所示之處理裝置所實施之 淸洗程序用的處方(製法)圖。 圖3係顯示在於表1所示.之各淸洗條件下的有機物之 附著量(接觸角)的圖。 圖4係顯示依據本發明的處理裝置之第2實施形態的 槪略圖。 經濟部智慧財產局員工消費合作社印製 圖5係顯示用於說明由圖4所示之處理裝置所實施之 淸洗程序用的製法圖。 圖6係顯示在於表2所示之各淸洗條件下的有機物之 附著量的圖。 圖7係顯示依據本發明的處理裝置之第3實施形態的 槪略圖。 圖8係顯示用於說明由圖7所示之處理裝置所實施之 本紙張尺度適用中國國家縣(CNS ) A4規格(21〇χ297公釐)74?- 541595 A7 __ ___B7 五、發明説明(40) 淸洗程序及薄膜形成程序用的製法圖 圖9係習知之處理裝置的模式圖 〔符號之說明〕 1 :熱處理裝置 3 :內管 5 :歧管 7 :蓋體 9 :晶舟 1 1 :絕熱體 1 3 :第1氣體引入管 1 5 :排出口 1 7 :排氣管 1 9 :真空泵 5 1 :處理裝置 5 3 :晶圓 5 5 :引入口 1 〇 1 :熱處理裝置 1 0 3 :歧管 1 0 3 b :處理區域 1〇4 :蓋體 1 〇 6 :晶圓 108:處理氣體供應管 1 0 8 b :處理氣體引入部 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 2 :反應管 4 :外管 6 :支承環 8:晶舟升降機 1〇:晶圓 加熱體 第2氣體引入管 淸洗氣體供應管 閥 控制部 載置台 加熱器 排氣口 :反應管 a :處理室 c :非處理區域 :晶舟 :溫升用加熱器 a :前端部分 :臭氧產生器 12: 14: 16: 18: 2 0: 5 2: 5 4: 5 6: 1 0 2 10 3 10 3 10 5 10 7 10 8 10 9 經濟部智慧財產局員工消費合作社印製 43 541595 A7 B7 五、發明説明(41) 110:淨化器 111:氧氣供應管 112:添加氣體供應管 113:排氣口 管 應 供 澧 管栗部氣 氣空制膜 排真控成 ··*·**·♦ 4 6 ο 2 空 τ—_ 1—12 2 : r-H Τ—H r-H Ίχ
管 應 供置分 體裝部 閥氣理端 合除處前 組淸熱·· • · · · · * 3 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -44 -

Claims (1)

  1. 54159焱件4: A8 B8 C8 D8
    六、申請專利範圍 第901 30122號專利申請案 中文申請專利範圍修正本 (請先閲讀背面之注意事項再填寫本頁) 民國92年2月14日修正 1·一種基板處理方法,其特徵爲: 要收容附著有機物之基板於反應室之過程;及 加熱前述反應室成爲所定溫度之同時供應處理氣體, 以從基板基板去除前述有機物之過程,而前述處理氣體含 有氧化性氣體及還原性氣體,且加熱前述溫度成爲可令前 述氧化性氣體及還原性氣體成爲活性化之溫度。 2 _如申請專利範圍第1項之基板處理方法,其中前 述氧化性氣體乃含有從例如〇2、N 2〇、N〇所形成之群 中所選擇的至少一種氣體,前述還原性氣體乃含有從例如 H2、NH3、CH4所形成之群中所選擇的至少一種氣體 〇 3 ·如申請專利範圍第1或2項之基板處理方法,其 中加熱前述反應室之溫度成爲至少3 5 0 t。 經濟部智慧財產局員工消費合作社印製 4 .如申請專利範圍第1或2項之基板處理方法,其 中設定反應室內之壓力爲133Pa〜399Pa。 5 .如申請專利範圍第1或2項之基板之處理方法, 其中在收容前述基板基板之過程中,將收容附著有機物之 基板複數數量於前述反應室。 6 · —種基板處理裝置,其特徵爲:具備有: 反應室,具有可設定成所定溫度之加熱部’用於收容 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 541595 ABICD 六、申請專利範圍 基板; (請先閲讀背面之注意事項再填寫本頁) 處理氣體供應機構,要供應含有氧化性氣體和還原性 氣體之處理氣體給予前述反應室內; 排氣機構,要排氣前述反應室內之氣體;及 控制機構,用於由前述加熱部來加熱前述反應室成爲 可令前述氧化性氣體及前述還原性氣體成爲活性化。 7 .如申請專利範圍第6項之基板處理裝置,其中前 述氧化性氣體乃含有從例如0 2,N 2 0、N〇所形成之群 中所選擇的至少一種氣體。前述還原性氣體乃含有從例如 H2、NH3、CH4所形成之群中所選擇的至少一種氣體 〇 8 .如申請專利範圍第6或7項之基板處理裝置,其 中前述控制機構可令前述加熱部加熱前述反應室之溫度至 少成爲3 5 0 °C。 9 ·如申請專利範圍第6或7項之基板處理裝置,其 中前述控制機構可令前述排氣機構排氣前述反應室內之氣 體,以維持前述反應室內之壓力成爲1 3 3 P a〜3 9 9 Pa 〇 經濟部智慧財產局員工消費合作社印製 1 〇 .如申請專利範圍第6或7項之基板處理裝置, 其中前述反應室具備有可收容複數之前述基板用的基板收 容部,而前述控制機構可令前述處理氣體供應於前述基板 收容部,以去除附著於前述複數基板的有機物。 11·一種基板處理方法,其特徵爲: 具備有:要收容附著有機物之被處理於反應室的過程 本紙張尺度適用中國國家榡準(CNS ) A4規格(210X297公釐) 541595 A8 B8 C8 D8 六、申請專利範圍 ;及加熱該反應室成所定溫度之同時供應處理氣體,以從 前述基板去除前述有機物之過程, (請先閲讀背面之注意事項再填寫本頁) 而前述處理氣體乃含有臭氧,且予以加熱前述反應室 之溫度成爲可活性化前述臭氧之溫度。 1 2 ·如申請專利範圍第1 1項之基板處理方法,其 中在收容前述被處理之過程中,將收容附著有機物之基板 複數數量於前述反應室。 1 3 ·如申請專利範圍第1 1或1 2項之基板處理方 法,其中加熱前述反應室之溫度成爲3 0 0 °C〜5 0 0 °C 0 1 4 .如申請專利範圍第1 1或1 2項之基板處理方 法,其中設定前述反應室內之壓力成爲1 3 . 3 P a〜 2 6 6 0 0 P a。 1 5 ·如申請專利範圍第1 1或1 2項之基板處理方 法,其中前述有機物爲磷配三丁酯、矽氧烷、酞酸二辛酯 中之至少一種。 1 6 .如申請專利範圍第1 1或1 2項之基板處理方 經濟部智慧財產局員工消費合作社印製 法,其中從要處理前述反應室之前述基板的處理區域一方 側之非處理區域來供應處理氣體,且使之可到達前述處理 區域之另一方側,並從前述處理區域之一方側的非處理區 域予以排氣前述反應室內之氣體,由而,可供應到達於前 述處理區域之另一方側的處理氣體給予前述處理區域。 1 7 · —種基板處理裝置,其特徵爲具備有: 反應室,具有可設定成所定之溫度的加熱部,用於收 -3- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 541595 A8 B8 C8 D8 六、申請專利範圍 容附著有機物之基板; (請先閲讀背面之注意事項再填寫本頁) 處理氣體供應機構,用於供應含有臭氧之處理氣體給 予前述反應室內; 排氣機構用於排氣前述反應室內之氣體;及 控制機構,用於可前述加熱部來加熱前述反應室成可 令前述臭氣活性化。 1 8 .如申請專利範圍第1 7項之基板處理裝置,其 中前述反應室具備有可收容複數數量之前述基板的基板收 容部,而前述控制機構可供應前述處理氣體給予前述基板 收容部來去除附著於前述複數基板的有機物。 1 9 .如申請專利範圍第1 7或1 8項之基板處理裝 置,其中前述反應室具有可維持前述臭氧之活性狀態的流 導(conductance) 〇 2 〇 ·如申請專利範圍第1 9項之基板處理裝置,其 中前述反應室爲單管構造。 2 1 ·如申請專利範圍第1 7或1 8項之基板處理裝 經濟部智慧財產局員工消費合作社印製 置,其中前述控制機構可操控前述加熱部來加熱前述反應 室之溫度成例如3 0 CTC〜5 0 0 °C。 2 2 _如申請專利範圍第1 7或1 8項之基板處理裝 置,其中前述控制機構可操控前述排氣機構來排氣前述反 應室內之氣體,以令前述反應室之壓力維持於例如 13 . 3Pa 〜26600Pa。 2 3 ·如申請專利範圍第1 7或1 8項之基板處理裝 置,其中前述處理氣體供應機構具有由臭氧產生器所構成 本紙張適用中關家標準(CNS ) ( 21GX297公釐) -4 - 541595 A8 B8 C8 D8 六、申請專利範圍 之臭氧產生部,而對於前述臭氧產生部連接有要供應氧氣 ,和氮氣或二氧化碳的臭氧生成氣體供應管。 (請先閲讀背面之注意事項再填寫本頁) 2 4 _如申請專利範圍第1 7或1 8項之基板處理裝置, 其中前述反應室具有要處理基板之處理區域之同時,至少 在該處理區域之一方側具有非處理區域,而前述處理氣體 供應機構及前述排氣機構乃配置於前述處理區域一方側之 非處理區域,前述控制機構乃操控前述處理氣體供應機構 使之所供應的處理氣體可從該非處理區域到達前述處理區 域之另一方側的同時,操控前述排氣機構使之排氣前述反 應室內的氣體,以令到達於前述處理區域另一方側之臭氧 可供予前述處理區域。 2 5 .如申請專利範圍第2 4項之基板處理裝置,其 中前述處理氣體供應機構具有要供應處理氣體於前述反應 室內用之處理氣體供應管,而前述處理氣體供應管之前端 部分朝該另一方側之非處理區域方向彎曲,以令可從前述 一方側之非處理區域通過非處理區域來供予前述另一方側 之非處理區域。 經濟部智慧財產局員工消費合作社印製 2 6 .如申請專利範圍第1 1或1 2項之基板處理方 法,其中更具備有薄膜形成過程,其乃供應成膜氣體於已 去除有機物之前述處理體,而形成薄膜於前述基板。 2 7 ·如申請專利範圍第2 6項之基板處理方法,其 中收容前述基板之過程,去除前述有機物之過程及前述薄 膜形成過程係由同樣之一個裝置來實施。 2 8 .如申請專利範圍第2 6項之基板處理方法,其 -5- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 541595 A8 B8 C8 D8 _ 六、申請專利範圍 (請先閲讀背面之注意事項再填寫本頁) 中將在收容前述基板之過程中的收容基板於反應管之裝載 溫度,和在去除前述有機物之過程中的則述處理室之溫予 以形成大致相等。 2 9 ·如申請專利範圍第1 7或1 8項之基板處理裝 置,其中更具備有:要供成膜氣體給予前述處理室的成膜 氣體供應機構,及操控由前述加熱部來加熱前述反應室內 成所定之過度之同時從前述成膜氣體供應機構供則述成膜 氣體給予已去除有機物的基板,以形成薄膜於前述被處理 氣體。 3 〇 .如申請專利範圍第3項之基板處理方法,其中 設定反應室內之壓力爲13 3Pa〜399Pa。 3 1 ·如申請專利範圍第1 3項之基板處理方法,其 中設定前述反應室內之壓力爲13.3Pa〜26600 Pa 〇 3 2 .如申請專利範圍第2 1項之基板處理裝置,其 中前述控制機構可操控前述排氣機構來排氣前述反應室內 之氣體,以令前述反應室之壓力維持於例如1 3 . 3 P a 〜26600Pa 。 經濟部智慧財產局員工消費合作社印製 -6 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW090130122A 2000-12-05 2001-12-05 Treatment method and apparatus of substrate TW541595B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000370023A JP4626912B2 (ja) 2000-12-05 2000-12-05 被処理体の処理方法、処理装置、薄膜形成方法及び薄膜形成装置
JP2001026233A JP4607347B2 (ja) 2001-02-02 2001-02-02 被処理体の処理方法及び処理装置

Publications (1)

Publication Number Publication Date
TW541595B true TW541595B (en) 2003-07-11

Family

ID=26605263

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130122A TW541595B (en) 2000-12-05 2001-12-05 Treatment method and apparatus of substrate

Country Status (6)

Country Link
US (1) US7208428B2 (zh)
EP (1) EP1351283A4 (zh)
KR (1) KR100886997B1 (zh)
CN (1) CN100372076C (zh)
TW (1) TW541595B (zh)
WO (1) WO2002047142A1 (zh)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US7189291B2 (en) * 2003-06-02 2007-03-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
KR100718180B1 (ko) * 2004-03-29 2007-05-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP4765328B2 (ja) 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
KR20080034492A (ko) * 2005-08-03 2008-04-21 엔테그리스, 아이엔씨. 이송 용기
JP4933789B2 (ja) * 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20070209683A1 (en) * 2006-03-13 2007-09-13 Macronix International Co., Ltd. Method for cleaning reactor and method for manufacturing a chip thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP4386132B2 (ja) * 2007-02-14 2009-12-16 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
CA2681213A1 (en) * 2007-03-28 2008-10-02 Burghardt Krebber Dental treatment device
JP4470970B2 (ja) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
KR101521998B1 (ko) * 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US20130153201A1 (en) * 2010-12-30 2013-06-20 Poole Ventura, Inc. Thermal diffusion chamber with cooling tubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140034632A1 (en) * 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115739822A (zh) * 2022-10-18 2023-03-07 福建兆元光电有限公司 一种干法刻蚀方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5547952B2 (zh) * 1971-12-29 1980-12-03
JPS5143079A (ja) * 1974-10-11 1976-04-13 Hitachi Ltd Taishokuseijushimakujokyoho
JPS6057937A (ja) * 1983-09-09 1985-04-03 Ushio Inc 紫外線洗浄方法
JPH0760794B2 (ja) * 1985-05-27 1995-06-28 富士通株式会社 シリコンのエピタキシヤル成長方法
JP2599122B2 (ja) * 1985-06-10 1997-04-09 ソニー株式会社 半導体装置の製造方法
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPH088255B2 (ja) * 1990-02-20 1996-01-29 株式会社東芝 半導体基板表面処理方法および半導体基板表面処理装置
JPH0439931A (ja) * 1990-06-06 1992-02-10 Oki Electric Ind Co Ltd 半導体装置の酸化膜形成方法
JPH07118522B2 (ja) * 1990-10-24 1995-12-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 基板表面を酸化処理するための方法及び半導体の構造
JPH04290219A (ja) * 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5200031A (en) 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
JPH05109686A (ja) 1991-10-14 1993-04-30 Nippon Steel Corp シリコンウエーハの洗浄方法およびその装置
US5633424A (en) * 1994-12-29 1997-05-27 Graves; Clinton G. Device and methods for plasma sterilization
US5567271A (en) 1995-07-26 1996-10-22 Chartered Semiconductor Manufacturing Pte Ltd Oxygen reactive ion etch (RIE) plasma method for removing oxidized organic residues from semiconductor substrates
JP3504784B2 (ja) * 1995-09-07 2004-03-08 東京エレクトロン株式会社 熱処理方法
JP3649798B2 (ja) * 1995-12-15 2005-05-18 株式会社半導体エネルギー研究所 半導体装置製造方法
JP3440685B2 (ja) * 1996-04-11 2003-08-25 ソニー株式会社 ウエハ処理装置および処理方法
JPH10189487A (ja) * 1996-12-20 1998-07-21 Sony Corp 薄膜堆積方法
KR100533814B1 (ko) * 1997-03-28 2006-03-31 도쿄 엘렉트론 가부시키가이샤 기판처리방법및기판처리장치
JP4557316B2 (ja) * 1997-07-24 2010-10-06 Okiセミコンダクタ株式会社 半導体素子の製造方法、および半導体素子製造用処理室
US6407367B1 (en) * 1997-12-26 2002-06-18 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
JP3426494B2 (ja) 1998-04-02 2003-07-14 沖電気工業株式会社 半導体装置の製造方法
JP3472482B2 (ja) 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
JP3478141B2 (ja) * 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
DE19924058A1 (de) * 1999-05-26 2000-11-30 Bosch Gmbh Robert Verfahren und Vorrichtung zur Beseitigung von Kontaminationen durch Ozonbehandlung

Also Published As

Publication number Publication date
US20040219793A1 (en) 2004-11-04
EP1351283A1 (en) 2003-10-08
CN1502120A (zh) 2004-06-02
CN100372076C (zh) 2008-02-27
KR20030062366A (ko) 2003-07-23
EP1351283A4 (en) 2006-01-25
US7208428B2 (en) 2007-04-24
KR100886997B1 (ko) 2009-03-04
WO2002047142A1 (fr) 2002-06-13

Similar Documents

Publication Publication Date Title
TW541595B (en) Treatment method and apparatus of substrate
TWI266811B (en) Cleaning method for thin film formation system
TWI446404B (zh) 半導體裝置的製造方法、清潔方法及基板處理裝置
KR101247828B1 (ko) 반도체 처리용 성막 방법 및 성막 장치와, 컴퓨터로 판독 가능한 매체
TWI336492B (zh)
JP2001176833A (ja) 基板処理装置
KR101139078B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법과, 컴퓨터로판독 가능한 매체
KR20090037340A (ko) 반도체 처리용 성막 장치 및 그 사용 방법
JP3953361B2 (ja) 基板処理装置および基板処理方法
WO2004027849A1 (ja) 半導体装置の製造方法および基板処理装置
JPH0483340A (ja) 基板の洗浄処理方法及び洗浄処理装置
TW201447017A (zh) 矽氧化物膜之形成方法、及矽氧化物膜之形成裝置
WO2002073675A1 (fr) Procede de nettoyage pour dispositif de traitement de substrat et dispositif de traitement de substrat
KR20150110358A (ko) 실리콘 산화막 형성 장치의 세정 방법, 실리콘 산화막의 형성 방법, 및 실리콘 산화막 형성 장치
JP4607347B2 (ja) 被処理体の処理方法及び処理装置
WO2004070079A1 (ja) 被処理基板を処理する半導体処理方法及び装置
JP2002066475A (ja) 基板洗浄装置
JP4538259B2 (ja) 層間絶縁膜の表面改質方法及び表面改質装置
JP4112591B2 (ja) 半導体装置の製造方法および基板処理装置
JP4626912B2 (ja) 被処理体の処理方法、処理装置、薄膜形成方法及び薄膜形成装置
JP4536711B2 (ja) 基板処理装置
JP4053976B2 (ja) 基板処理方法及び基板処理装置
JP3544326B2 (ja) 基板処理方法
JP4053975B2 (ja) 基板処理方法
JP3691689B2 (ja) エッチング表面の親水性化方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees