WO2002047142A1 - Procede et appareil de traitement d'un article a traiter - Google Patents

Procede et appareil de traitement d'un article a traiter Download PDF

Info

Publication number
WO2002047142A1
WO2002047142A1 PCT/JP2001/010594 JP0110594W WO0247142A1 WO 2002047142 A1 WO2002047142 A1 WO 2002047142A1 JP 0110594 W JP0110594 W JP 0110594W WO 0247142 A1 WO0247142 A1 WO 0247142A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
gas
reaction chamber
wafer
temperature
Prior art date
Application number
PCT/JP2001/010594
Other languages
English (en)
French (fr)
Inventor
Shingo Hishiya
Yoshikazu Furusawa
Teruyuki Hayashi
Misako Saito
Kota Umezawa
Syoichi Sato
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000370023A external-priority patent/JP4626912B2/ja
Priority claimed from JP2001026233A external-priority patent/JP4607347B2/ja
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to EP01999967A priority Critical patent/EP1351283A4/en
Priority to KR1020037007373A priority patent/KR100886997B1/ko
Priority to US10/433,423 priority patent/US7208428B2/en
Publication of WO2002047142A1 publication Critical patent/WO2002047142A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the present invention relates to a method and an apparatus for processing an object to be processed such as a semiconductor wafer. More specifically, the present invention relates to a method and an apparatus for removing an organic substance attached to an object, and a method and an apparatus for further forming a thin film on the object from which the organic substance has been removed. Description of related technology
  • a thin film such as a polysilicon film or a silicon oxide film on an object to be processed, for example, a wafer (hereinafter, referred to as a “wafer”) by a process such as a chemical vapor deposition (CVD). Is being done.
  • CVD chemical vapor deposition
  • the process of forming such a thin film is generally performed in a clean room to prevent contaminants from adhering to the wafer.
  • a clean room it is difficult to completely remove contaminants.
  • triptyl phosphate Tributyl Phosphate: TBP
  • siloxane siloxane
  • D0P dioctyl phyhalate
  • contaminants organic substances
  • the wafer is cleaned to remove organic substances attached to the wafer.
  • the cleaning of the wafer is performed, for example, using a processing apparatus as shown in FIG.
  • the wafer 53 is mounted on the mounting table 52 in the processing apparatus 51.
  • the inside of the processing apparatus 51 that is, the wafer 53
  • a processing gas for example, oxygen gas is supplied from the introduction port 55 into the processing apparatus 51.
  • the supplied oxygen gas is thermally decomposed in the vicinity of the wafer 53 to generate oxygen atom radicals (0 *) and decompose organic substances attached to the surface of the wafer 53.
  • the decomposed organic matter is discharged to the exhaust port 5 6 Is discharged out of the processing device 51 via the Thus, the wafer 53 is cleaned.
  • the inside of the processing apparatus 51 (wafer 53) must be heated to a high temperature such as 600 ° C. in order to generate oxygen atom radicals. This is not preferable from the viewpoint of preventing thermal oxidation of the wafer.
  • the wafers 53 are cleaned one by one, so that when cleaning a large number of wafers 53, the time required for cleaning becomes long.
  • the present invention has been made in view of the above problems, and an object of the present invention is to provide a processing method and a processing apparatus for a target object, which can improve the efficiency of removing organic substances attached to the target object.
  • a further object of the present invention is to provide a processing method and a processing apparatus capable of efficiently removing organic substances attached to a processing target at a relatively low temperature.
  • a further object of the present invention is to provide a method and an apparatus for treating an object, which can remove organic substances attached to a plurality of objects in a short time.
  • a further object of the present invention is to provide a processing method and a processing apparatus for further forming a thin film on an object from which organic substances have been removed.
  • a method for treating an object to be treated according to a first aspect of the present invention includes: a step of accommodating an object to be treated with an organic substance in a reaction chamber; Heating the mixture to a temperature and supplying a processing gas to remove the organic matter from the object, wherein the processing gas includes an oxidizing gas and a reducing gas, and the temperature of the reaction chamber is reduced.
  • the oxidizing gas and the reducing gas are heated to a temperature at which they can be activated.
  • the organic substances attached to the object to be processed are oxidized and decomposed by the oxygen-active species and the hydroxyl-active species, and the organic substances are removed from the object.
  • the Sani ⁇ gas for example, includes one gas even without least selected from the group consisting of 0 2, N 2 0, NO .
  • the reducing gas for example, includes at least one gas selected from the group consisting of H 2, NE s CH 4.
  • the temperature of the reaction chamber is heated to at least 350 ° C. As described above, even if the temperature of the reaction chamber is lowered, the organic substances attached to the object to be processed can be removed.
  • the pressure in the reaction chamber it is preferable to set the pressure in the reaction chamber to 133 Pa to 3999 Pa.
  • the pressure in the reaction chamber is set at a low pressure as described above, the processing gas can be uniformly supplied to the object to be processed.
  • the reaction chamber may contain a plurality of objects to be processed to which the organic substance has adhered. In this case, it is possible to remove the organic substances attached to a plurality of objects to be processed by one treatment, and to shorten the time required for removing the organic substances.
  • an apparatus for processing an object to be processed comprising: a heating unit capable of setting a predetermined temperature; a reaction chamber for accommodating the object to be processed; A process gas supply unit for supplying a process gas containing a reactive gas; an exhaust unit for exhausting a gas in the reaction chamber; and the heating unit enabling the oxidizing gas and the reducing gas to activate the reaction chamber.
  • the processing gas containing the oxidizing gas and the reducing gas is supplied by the processing gas supply means to the reaction chamber containing the object to be processed to which the organic substance is attached.
  • the heating section controlled by the control means heats the reaction chamber to a temperature at which the oxidizing gas and the reducing gas can be activated. Then, a combustion reaction occurs in the reaction chamber, and oxygen active species and hydroxyl active species are generated.
  • the organic substances attached to the target object are oxidized and decomposed by the oxygen active species and the hydroxyl group active species, and the organic substances are removed from the target object.
  • the oxidizing gas for example, include 0 2, N 2 0, one at least one gas selected from the group consisting of NO.
  • the reducing gas includes, for example, at least one gas selected from the group consisting of H 2 and NH ss CH 4 .
  • the control means preferably causes the heating unit to heat the temperature of the reaction chamber to at least 350 ° C. As described above, even if the temperature of the reaction chamber is lower than before, the organic substances attached to the object to be processed can be removed.
  • control means causes the exhaust means to exhaust gas in the reaction chamber, and maintains the pressure in the reaction chamber at 133 Pa to 3999 Pa.
  • the processing gas can be uniformly supplied to the object to be processed.
  • the reaction chamber includes a processing object storage unit capable of storing a plurality of the processing objects, the control unit supplies the processing gas to the processing object storage unit, and the organic substance attached to the plurality of processing objects. Is preferably removed. In this case, it is possible to remove organic substances attached to a plurality of objects to be processed by a single treatment, and to shorten the time required for removing organic substances.
  • a method for treating an object to be treated comprising the steps of: accommodating an object to which an organic substance is attached in a reaction chamber; heating the reaction chamber to a predetermined temperature and supplying a processing gas. Removing the organic matter from the object, wherein the processing gas contains ozone, and the temperature of the reaction chamber is heated to a temperature at which the ozone can be activated.
  • the processing gas containing ozone is supplied to the reaction chamber containing the object to which the organic substance is attached. Then, the ozone is activated in the reaction chamber to generate oxygen atomic radicals.
  • the organic substances attached to the object are decomposed by the oxygen atom radicals, and the organic substances are removed from the object. For this reason, the removal efficiency of the organic substances attached to the object can be improved.
  • a plurality of substrates to which the organic substance has adhered may be accommodated in the reaction chamber. In this case, it is possible to remove organic substances adhered to a plurality of objects to be processed by a single treatment, and it is possible to shorten the time required for removing organic substances.
  • the temperature of the reaction chamber is preferably heated to, for example, 300 ° C .; As described above, even if the temperature of the reaction chamber is lowered as compared with the conventional case, it is possible to remove the organic substances attached to the object to be processed.
  • the pressure in the reaction chamber it is preferable to set the pressure in the reaction chamber to 13.3 Pa to 2660 Pa.
  • the pressure in the reaction chamber is set to a low pressure as described above, the processing gas can be uniformly supplied to the object to be processed.
  • organic substance examples include at least one of triptyl phosphate, siloxane, and dioctyl fluorate.
  • a processing gas is supplied from a non-processing area on one side of a processing area for processing the object in the reaction chamber so as to reach the other side of the processing area, and a non-processing area on one side of the processing area is supplied. It is preferable that the processing gas that reaches the other side of the processing region be supplied to the processing region by exhausting gas in the reaction chamber from the processing region. In this case, the processing gas that has reached the other side of the processing region is uniformly supplied to the processing region by exhausting the gas in the reaction chamber. Then, the organic matter is removed from the object to be processed by the processing gas and exhausted to the outside of the reaction chamber.
  • the above method may further include a thin film forming step of forming a thin film on the object by supplying a film forming gas to the object from which organic substances have been removed.
  • a thin film forming step of forming a thin film on the object by supplying a film forming gas to the object from which organic substances have been removed.
  • the step of accommodating the object to be processed, the step of removing the organic substance, and the step of forming the thin film are performed by one and the same apparatus. In this case, formation of a thin film on the object to be processed is simplified. Further, during the transition from the processing step to the thin film forming step, there is no possibility that an organic substance adheres to the object to be processed.
  • a loading temperature at which the object to be processed is accommodated in the reaction chamber in the step of accommodating the object to be treated is substantially equal to a temperature of the reaction chamber in the step of removing the organic substance. In this case, the temperature operation for removing the organic matter is not required.
  • An object processing apparatus includes: a reaction chamber that has a heating unit that can be set to a predetermined temperature, accommodates an object to which an organic substance is attached, and the reaction chamber Processing gas supply means for supplying a processing gas containing ozone to the exhaust gas; exhaust means for exhausting gas in the reaction chamber; and control means for heating the reaction chamber to a temperature at which the ozone can be activated by the heating unit. Comprising.
  • the processing gas containing ozone is supplied to the reaction chamber containing the object to be treated with the organic substance by the processing gas supply means. Then, the heating section controlled by the control means heats the reaction chamber to a temperature at which ozone can be activated, and the ozone supplied to the reaction chamber is activated to generate oxygen atom radicals. The organic matter attached to the object to be processed is decomposed by the oxygen atomic radical, and the organic substance is removed from the object to be processed.
  • the reaction chamber includes a processing object storage unit capable of storing a plurality of the processing objects, the control unit supplies the processing gas to the processing object storage unit, and the organic substance attached to the plurality of processing objects. Is preferably removed. In this case, it is possible to remove organic substances attached to a plurality of objects to be processed by a single treatment, and to shorten the time required for removing organic substances.
  • the reaction chamber preferably has a conductance capable of maintaining the active state of the ozone.
  • a reaction chamber for example, there is a reaction chamber having a single tube structure.
  • the control means preferably causes the heating section to heat the temperature of the reaction chamber to, for example, 300 ° C .; Thus, even if the temperature of the reaction chamber is lowered, the efficiency of removing organic substances attached to the object can be improved.
  • control unit causes the exhaust unit to exhaust gas in the reaction chamber, and maintains the pressure in the reaction chamber at, for example, 13.3 Pa to 260 OPa.
  • the pressure in the reaction chamber is set to a low pressure as described above, the processing gas can be uniformly supplied to the object to be processed.
  • the processing gas supply means has an ozone generation unit composed of a plasma generator, and an ozone generation gas supply pipe for supplying oxygen gas, nitrogen gas or carbon dioxide is connected to the ozone generation unit. It is preferred that When an ozone generating gas supply pipe for supplying oxygen gas and nitrogen gas is connected, the generation efficiency of ozone generated in the ozone generation unit is improved. In addition, if an ozone generating gas supply pipe that supplies oxygen gas and carbon dioxide is connected, the processing generated in the ozone generation unit NO x is not contained in the gas, and the processing gas supply means for supplying the processing gas into the reaction chamber is less likely to corrode.
  • the reaction chamber has a processing region for processing an object to be processed, and has a non-processing region on at least one side of the processing region, and the processing gas supply unit and the exhaust unit have a non-processing region on one side of the processing region.
  • the control means is disposed in a processing area, and the control means causes the processing gas supply means to supply the processing gas from the non-processing area to reach the other side of the processing area, and the exhaust means exhausts the gas in the reaction chamber. By doing so, it is preferable to supply the ozone that has reached the other side of the processing area to the processing area. In this case, the processing gas that has reached the other side of the processing region by the processing gas supply unit is uniformly supplied to the processing region by the exhaust unit. Then, the organic gas is removed from the object by the processing gas and exhausted to the outside of the reaction chamber.
  • the processing gas supply means includes a processing gas supply pipe for supplying a processing gas into the reaction chamber.
  • the tip of the processing gas supply pipe is bent in the direction of the non-processing area on the other side so as to be supplied from the non-processing area on the one side to the non-processing area on the other side through the non-processing area.
  • the conductance in the reaction chamber is improved.
  • the processing apparatus further includes: a film forming gas supply unit that supplies a film forming gas into the reaction chamber; and a heating unit that heats the reaction chamber to a predetermined temperature and removes an organic substance by the film forming gas supply unit. And a film forming control means for supplying the film forming gas to the processed object to form a thin film on the processed object.
  • FIG. 1 is a schematic diagram showing a first embodiment of a processing apparatus according to the present invention.
  • FIG. 2 is a diagram showing a recipe for explaining a cleaning procedure executed by the processing apparatus shown in FIG.
  • FIG. 3 is a graph showing the amount of attached organic matter (contact angle) under each of the cleaning conditions shown in Table 1.
  • FIG. 4 is a schematic view showing a second embodiment of the processing apparatus according to the present invention.
  • FIG. 3 is a diagram showing a recipe for the present invention.
  • FIG. 5 is a schematic view showing a third embodiment of the processing apparatus according to the present invention.
  • FIG. 8 is a diagram showing a receiver for explaining a cleaning procedure and a thin film forming procedure performed by the processing apparatus shown in FIG.
  • FIG. 9 is a schematic diagram of a conventional processing apparatus. Description of the preferred embodiment
  • a first embodiment of the present invention is decomposed and removed (cleaned) by using a batch-type vertical heat treatment apparatus shown in FIG. 1 to decompose and remove a semiconductor wafer (hereinafter, referred to as a “wafer”), that is, an organic substance adhered on an object to be processed.
  • a wafer a semiconductor wafer
  • the heat treatment apparatus 1 includes a substantially cylindrical reaction tube 2 whose longitudinal direction is directed vertically.
  • the reaction tube 2 is composed of an inner tube 3 that forms a film forming area inside, and an outer tube 4 with a ceiling that covers the inner tube 3 and is formed so as to have a certain distance from the inner tube 3. It has a heavy pipe structure.
  • the inner tube 3 and the outer tube 4 are formed of a heat-resistant material, for example, quartz.
  • a manifold 5 made of stainless steel (SUS) formed in a cylindrical shape is arranged below the outer tube 4.
  • the manifold 5 is air-tightly connected to the lower end of the outer tube 4.
  • the inner tube 3 protrudes from the inner wall of the manifold 5 and is supported by a support ring 6 formed integrally with the manifold 5.
  • a lid 7 is arranged below the manifold 5, and the lid 7 can be moved up and down by a boat elevator 8. When the lid 7 rises, the lower side of the manifold 5 is closed.
  • a wafer boat 9 made of quartz is placed on the lid 7.
  • the wafer boat 9 accommodates a plurality of wafers 10 at predetermined intervals in the vertical direction.
  • a heat insulator 11 is provided around the reaction tube 2 so as to surround the reaction tube 2.
  • a heating heater 12 composed of a resistance heating element is provided on the inner wall surface. The inside of the reaction tube 2 is set to a predetermined temperature by operating the heater 12 for raising the temperature.
  • a plurality of gas introduction pipes pass through the side of the manifold 5.
  • two gas introduction pipes that is, a first gas introduction pipe 13 and a second gas introduction pipe 14 are connected to the side surface of the manifold 5.
  • the first gas introduction pipe 13 is disposed so as to face the inside of the inner pipe 3. As shown in FIG. 1, the first gas introduction pipe 13 is passed through the side of the manifold 5 below the support ring 6 (below the inner pipe 3). Then, an oxidizing gas such as an oxygen gas (O 2 ) is introduced into the inner tube 3 from the first gas introduction tube 13.
  • an oxidizing gas such as an oxygen gas (O 2 ) is introduced into the inner tube 3 from the first gas introduction tube 13.
  • the second gas inlet pipe 14 is disposed so as to face the inside of the inner pipe 3, and, like the first gas inlet pipe 13, the side of the manifold 5 below the support ring 6 (below the inner pipe 3). It is inserted through. Then, for example, a reducing gas such as hydrogen gas (H) is introduced into the inner pipe 3 from the second gas introduction pipe 14.
  • a reducing gas such as hydrogen gas (H) is introduced into the inner pipe 3 from the second gas introduction pipe 14.
  • a discharge port 15 is provided on the side of the manifold 5.
  • the discharge port 15 is provided above the support ring 6 and communicates with a space formed between the inner tube 3 and the outer tube 4 in the reaction tube 2. Then, oxygen gas is supplied from the first gas introduction pipe 13 and hydrogen gas is supplied from the second gas introduction pipe 14 into the inner pipe 3 to be cleaned, and organic matter decomposed by the cleaning is removed from the inner pipe 3 to the outer pipe 3. It is discharged to outlet 15 through pipe 4.
  • a purge gas supply pipe 16 for supplying nitrogen gas as a purge gas is provided below the outlet 15 on the side surface of the manifold 5.
  • An exhaust pipe 17 is hermetically connected to the outlet 15.
  • the exhaust pipe 17 has a valve 18 and a vacuum pump 19 interposed therebetween.
  • the valve 18 adjusts the opening of the exhaust pipe 17 to control the pressure in the reaction pipe 2 to a predetermined pressure.
  • the vacuum pump 19 exhausts the gas inside the reaction tube 2 via the exhaust tube 1 ⁇ ⁇ ⁇ ⁇ and adjusts the pressure inside the reaction tube 2.
  • Control part 20 for boat elevator 8, heating heater 12, 1st gas introduction pipe 13, 2nd gas introduction pipe 14, purge gas supply pipe 16, valve 18 and vacuum pump 19 Is connected.
  • Control unit 20 is a microprocessor, process controller —Controls each part of the heat treatment apparatus 1 by measuring the temperature, pressure, etc. of each part of the heat treatment apparatus 1 and outputting a control signal or the like to the above parts based on the measurement data. Referring to the recipe (time sequence) shown in FIG. 2, a processing method for cleaning the organic substances adhered on the wafer 10 with the processing gas containing oxygen gas and hydrogen gas using the heat treatment apparatus 1 is described. explain. In the following description, the operation of each unit constituting the heat treatment apparatus 1 is controlled by the control unit 20.
  • a wafer boat 9 containing a wafer 10 to which organic substances are attached is placed on the lid 7. Further, the inside of the reaction tube 2 is set to a predetermined opening temperature by the heater 12 for temperature rise.
  • the lid 7 is raised by the boat elevator 8, and the wafer boat 9 on which the wafer 10 is mounted is loaded into the inner tube 3 of the reaction tube 2.
  • the wafer 10 is accommodated in the reaction tube 2 and the reaction tube 2 is sealed.
  • a predetermined amount of nitrogen gas is supplied from the purge gas supply pipe 16 into the reaction tube 2 to discharge contaminants such as organic substances mixed into the reaction tube 2 (loading process).
  • the pressure in the reaction tube 2 is started. Specifically, a predetermined amount of nitrogen gas is supplied from the purge gas supply pipe 16 into the reaction pipe 2, and the vacuum pump 19 is driven while controlling the degree of the valve 18, and the reaction pipe 2 is driven. Exhaust gas inside. The gas in the reaction tube 2 is discharged until the pressure in the reaction tube 2 becomes from a normal pressure to a predetermined pressure, for example, 13 P a to 39 P a (1 Tor * r to 3 T orr). Do.
  • the inside of the reaction tube 2 is heated to 350 ° C. or more, which is a temperature at which the oxidizing gas (oxygen gas) and the reducing gas (hydrogen gas) can be activated, by the heater 12 for heating. If the temperature of the reaction tube 2 is lower than 350 ° C., the oxygen gas and the hydrogen gas are not activated. However, if the temperature of the reaction tube 2 is too high, the surface of the wafer 10 is oxidized. Therefore, the temperature of the reaction tube 2 is preferably set to 350 ° C .; Preferably, it is heated to 350 ° C to 400 ° C. Then, the decompression and heating operations are performed until the inside of the reaction tube 2 is stabilized at a predetermined pressure and temperature (stabilization step).
  • a predetermined pressure and temperature stabilization step
  • the supply of the nitrogen gas from the purge gas supply tube 16 is stopped. Then, a predetermined flow of oxygen gas from the first gas introduction pipe 13 is performed. At the same time, hydrogen gas is supplied at a predetermined flow rate, for example, 0.9 liter / min, into the inner pipe 3 of the reaction tube 2 from the second gas introduction pipe 14.
  • the supply of the processing gas (oxygen gas, hydrogen gas) from the first gas introduction pipe 13 and the second gas introduction pipe 14 is stopped.
  • the vacuum pump 19 is driven to discharge the gas in the reaction tube 2 while controlling the degree of the nozzle 18, and then a predetermined amount of nitrogen gas is supplied from the purge gas supply tube 16 to the reaction tube 2.
  • the gas in 2 is discharged to the exhaust pipe 17 (purge step). In order to reliably discharge the gas in the reaction tube 2, it is preferable to repeat the discharge of the gas in the reaction tube 2 and the supply of the nitrogen gas a plurality of times.
  • a predetermined amount of nitrogen gas is supplied from the purge gas supply pipe 16 to return the inside of the reaction tube 2 to normal pressure (760 Torr), and the wafer boat 9 holding the wafer 10 is unplugged from the reaction tube 2. (About an mouthful).
  • the sample of the wafer 10 to which the organic substance was adhered was cleaned under various conditions. Form 1000 ⁇ thick oxide film on wafer 10 Then, the surface of the silicon oxide film was washed with diluted hydrofluoric acid (DHF) for 1 minute, and then left in a clean room for a predetermined time to prepare a sample of the wafer 10 to which organic substances had adhered.
  • DHF diluted hydrofluoric acid
  • the adhesion amount of the organic substance was measured using a contact angle method.
  • the contact angle method is a method in which pure water is dropped on a wafer 10 and the contact angle of the pure water droplet is measured. The more organic substances are attached to the wafer 10, the higher the hydrophobicity and the larger the contact angle. Conversely, as the amount of organic matter attached decreases, the hydrophilicity increases and the contact angle decreases.
  • the contact angles were measured at five points on the wafer 10, and the average value was obtained.
  • the contact of the prepared sample was 57 °. Even if pure water is dropped onto the wafer 10 from which organic substances have been completely removed, the contact angle of the pure water droplet does not become 0 °, and it is difficult to perform a precise measurement at a low angle. Therefore, it is considered that organic substances are almost completely removed from the wafer 10 having a contact angle of 2 ° or less.
  • Table 1 shows the cleaning conditions. As shown in Table 1, the temperature of the reaction tube 2 (Example 1, Example 2, Comparative Example 1, Comparative Example 2), the pressure of the reaction tube 2 (Examples 3 to 5), the cleaning time (Example The cleaning was performed by changing the examples 6, 7) and the like, and the effects of temperature, pressure and time on the cleaning effect were examined. Note that here, the experiment In order to simplify the test, except for Example 8, the wafer boat 9 was tested by storing only one wafer 10 (total of 3 wafers) in each of the upper, middle and lower three places. The average value of the contact angles of the accommodated wafers 10 was used as the contact angle of each example. The cleaning effect when the number of wafers 10 was large was confirmed in Example 8. The results are shown in Table 1 and FIG. FIG. 3 shows a bar graph of the contact angle of the droplet after cleaning for each example. For reference, the contact angles without cleaning are also shown in Table 1 and FIG.
  • Example 1 and Example 2 in Table 1 and FIG. 3 when the temperature of the reaction tube 2 was 350 ° C. and 400 ° C., organic substances attached to the wafer 10 were almost completely removed. Was confirmed. Further, as shown in Table 1 and Comparative Example 1 and Comparative Example 2 in FIG. 4, when the temperature of the reaction tube 2 is 300 ° C. and 330 ° C., the organic substances attached to the wafer 10 are not removed. This is because if the temperature of the reaction tube 2 is lower than 350 ° C., the oxygen gas and the hydrogen gas are not activated, so that 0 * and OH * are not generated, so that organic substances cannot be decomposed.
  • the organic matter attached to the wafer 10 can be removed even if the temperature of the reaction tube 2 is higher than 350 ° C., but if the temperature of the reaction tube 2 is too high, the wafer 10 The surface is oxidized.
  • the temperature of the reaction tube 2 is preferably set at 350 ° C. to 600 ° C., more preferably at 350 ° C. to 400 ° C.
  • Example 1 and Examples 3 to 5 in Table 1 and FIG. 3 when the pressure of the reaction tube 2 was 13 Pa to 39 Pa, almost no organic matter adhered to the wafer 10. It was confirmed that it was completely removed.
  • the pressure in the reaction tube 2 exceeds 3999 Pa, 0 * and OH * may not be supplied uniformly to all the wafers 10 accommodated in the wafer boat 9. It is preferable that the pressure in the reaction tube 2 is set to 133 Pa to 3999 Pa.
  • Example 1 minute to 30 minutes As shown in Example 1, Example 6, and Example 7 in Table 1 and FIG. 3, when the cleaning time is 1 minute to 30 minutes, organic substances adhering to the wafer 10 are almost completely removed. Was confirmed. If the cleaning time is shorter than 1 minute, organic substances adhering to the wafer 10 may not be almost completely removed.If the cleaning time is longer than 30 minutes, the cleaning of the wafer 10 can be efficiently performed. You can no longer do it. Therefore, the cleaning time is preferably set to 1 minute to 30 minutes. However, this time can be further lengthened or shortened depending on the amount of the organic substance adhering to the wafer 10.
  • Example 1 and Example 8 in Table 1 and FIG. 3 even when the number of wafers 10 in the wafer boat 9 was changed from three to 100, the effect of removing organic substances adhering to the wafer 10 was reduced. It was confirmed that the result was not affected. This is because the inside of the reaction tube 2 is maintained at a low pressure. For this reason, even if the number of wafers 10 in the wafer boat 9 increases to, for example, 100, the pressure and the cleaning time of the reaction tube 2 show the same tendency. In general, the surface condition of the plane (wafer 10) on which pure water is dropped is easily affected. If the surface shape of the wafer 10 is changed by cleaning, it is impossible to accurately measure the amount of organic substances attached. It is considered to be lost. For this reason, the surface shape of the wafer 10 before and after cleaning was confirmed. As a result, it was confirmed that the surface shape of the wafer 10 hardly changed before and after cleaning.
  • oxygen gas from the first gas introduction pipe 13 and hydrogen gas from the second gas introduction pipe 14 are supplied into the reaction tube 2 heated to 350 ° C. or more.
  • organic substances attached to the wafer 10 can be removed. Therefore, the organic substances attached to the wafer 10 can be removed by the simple heat treatment apparatus 1. Further, organic substances attached to the wafer 10 can be removed at a lower temperature than in the conventional cleaning method.
  • an ozone generator such as an ultraviolet irradiation device or a plasma generator, which is necessary for performing cleaning using ozone gas, which will be described later, is not required, so that the structure of the heat treatment apparatus 1 can be simplified. can do.
  • the present embodiment it is possible to remove the organic substances attached to the plurality of wafers 10 housed in the wafer boat 9 by a single cleaning. Therefore, even when cleaning a large number of wafers 10, the time required for cleaning can be shortened.
  • oxygen gas was used as the oxidizing gas
  • hydrogen gas was used as the reducing gas.
  • the group consisting of O 2 , N 20 and NO as oxidizing gases At least one gas selected from the group consisting of H 2 , NH 3 and CH 4 may be used as the reducing gas.
  • the organic substances adhering to the wafer 10 can be removed by the oxygen-active species and the hydroxyl-active species generated in the process of burning the reducing gas as described above.
  • the above gases other than oxygen gas and hydrogen gas are used as the oxidizing gas and the reducing gas
  • the temperature of the reaction tube 2 and the temperature in the reaction tube 2 are the same as when oxygen gas and hydrogen gas are used.
  • cleaning conditions such as pressure
  • the organic substance attached to the wafer 10 was removed by using the heat treatment apparatus 1 having the reaction tube 2 having a double tube structure composed of the inner tube 3 and the outer tube 4, but the heat treatment device shown in FIG. It is also possible to use a heat treatment apparatus having a single pipe structure in which the inner pipe 3 and the support ring 6 are removed from 1. In this case, the structure of the heat treatment equipment can be simplified.
  • the organic matter attached to the wafer 10 is removed using the notch type vertical heat treatment apparatus 1, but a single-wafer heat treatment apparatus may be used. Also in this case, the organic substances attached to the wafer 10 can be removed with a simple device. Further, organic substances attached to the wafer 10 can be removed at a low temperature.
  • the number of the first gas introduction pipes 13 and the number of the second gas introduction pipes 14 are not limited to one, and may be plural.
  • the object to be processed is not limited to the wafer 10, and may be, for example, a glass substrate.
  • the second embodiment of the present invention is performed by using a batch type vertical heat treatment apparatus shown in FIG. 4 to decompose and remove (cleaning) organic substances adhering to a wafer (object to be processed) with a processing gas containing ozone.
  • a batch type vertical heat treatment apparatus shown in FIG. 4 to decompose and remove (cleaning) organic substances adhering to a wafer (object to be processed) with a processing gas containing ozone.
  • the heat treatment apparatus 101 includes a single-tube reaction tube 102 formed in a cylindrical shape with a ceiling having a longitudinal direction oriented in a vertical direction.
  • the reaction tube 102 is formed of a heat-resistant material, for example, quartz.
  • the lower part of the reaction tube 102 is made of stainless steel (SUS) formed in a cylindrical shape Manifold 103 is arranged.
  • the manifold 103 is airtightly connected to the lower end of the reaction tube 102.
  • a lid 104 is arranged below the manifold 103, and the lid 104 can be moved up and down by a boat elevator (not shown).
  • the lid 104, the reaction tube 102, and the manifold 103 constitute a processing chamber 103 a. Then, when the lid 104 rises and comes into contact with the manifold 103, the lower side of the manifold 103 is closed, and the processing chamber 103a is sealed.
  • a wafer boat 105 made of quartz is placed on the lid 104.
  • the wafer boat 105 houses a plurality of objects to be processed, for example, wafers 106 at predetermined intervals in the vertical direction.
  • the wafer 106 accommodated in the wafer boat 105 is placed inside the processing chamber 1a by inserting the wafer boat 105 into the reaction tube 102, and the wafer 106 Are arranged in the processing chamber 103a in the processing chamber 103a. Further, the periphery of the processing region 103b constitutes a non-processing region.
  • the inner wall surface of the reaction tube 102 and the end of the wafer 106 mounted on the wafer boat 105 are formed. It is formed in such a size as to have a gap D between the part and.
  • This gap D is determined in consideration of the flow rate of ozone, the pressure inside the reaction tube 102, the height of the reaction tube 102, etc., so that a predetermined exhaust conductance can be obtained in the processing chamber 103a. For example, 2 O mn!
  • the size is set to about 50 mm.
  • a heating heater 107 made of a resistance heating element is provided around the reaction tube 102 so as to surround the reaction tube 102, and the heating heater 107 is operated. Thereby, the inside of the reaction tube 102 is set to a predetermined temperature.
  • a processing gas supply pipe 108 is disposed in the non-processing area 103 c on one side of the processing area 103 b in the processing chamber 103 a (in this embodiment, below the processing area 103 b). It has been.
  • the processing gas supply pipe 108 is passed through the side surface of the manifold 103.
  • a Teflon pipe is used to prevent corrosion of the pipe.
  • the processing gas supply pipe 108 has a tip portion 108 a that faces the accommodation position of the wafer 106, that is, the direction (upward) of the processing region 103 b. It has a processing gas introduction portion 108 b directed toward the processing region 103 b at the tip end portion 108 a.
  • the processing gas containing ozone supplied from the processing gas introduction section 108 b of the processing gas supply pipe 108 is ejected toward the upper side of the reaction tube 102.
  • the distal end 108 a is located outside the processing region 103 b (for example, a space corresponding to the gap D shown in FIG. 4) where the processing gas ejected upward from the processing gas supply pipe 108 is located.
  • And is disposed at a position where it is supplied to the other side of the processing region 103b, that is, the upper part of the reaction tube 102.
  • the processing gas supply pipe 108 is connected to the ozone generator 109.
  • the ozone generator 109 is composed of, for example, a plasma generator or the like, and generates ozone based on oxygen.
  • the oxygen gas supply pipe 111 and the additive gas supply pipe 112 are connected to the ozone generator 109 via a purifier 110. Then, the oxygen gas from the oxygen gas supply pipe 111 and the additive gas composed of the nitrogen gas or carbon dioxide gas from the additional gas supply pipe 112 are supplied to the purifier 110, and are supplied by the purifier 110. Then, it is supplied to the ozone generator 109 at a purity suitable for ozone generation (suppressing generation of corrosive gas due to impurities, especially water).
  • An exhaust port 113 is provided on a side surface of the manifold 103 in the non-processing region 103c.
  • the exhaust port 113 is provided at a position facing the processing gas supply pipe 108 in the non-processing area 103c, and exhausts the gas in the reaction pipe 102.
  • An exhaust pipe 1 14 is hermetically connected to the exhaust port 113.
  • a combination valve 115 and a vacuum pump 116 are provided in this order from the upstream side.
  • the combination valve 115 adjusts the opening of the exhaust pipe 114 to control the pressure in the reaction pipe 102 and the pressure in the exhaust pipe 114 to a predetermined pressure.
  • the vacuum pump 116 exhausts the gas in the reaction tube 102 via the exhaust tube 114, and adjusts the pressure in the reaction tube 102 and the exhaust tube 114.
  • a purge gas for example, a nitrogen gas
  • the control unit 120 is connected.
  • the control unit 120 It is composed of a microprocessor, a process controller, etc., measures the temperature, pressure, etc. of each part of the heat treatment apparatus 101 and outputs control signals, etc., to each of the above parts based on the measured data, and the various parts of the heat treatment apparatus 101 Control.
  • a processing method for cleaning organic substances adhering to the wafer 106 with a processing gas containing ozone using the heat treatment apparatus 101 will be described with reference to a recipe (time sequence) shown in FIG.
  • the operation of each unit constituting the heat treatment apparatus 101 is controlled by the control unit 120.
  • a wafer boat 105 containing a wafer 106 to which organic substances are attached is placed on the lid 104.
  • the inside of the reaction tube 102 (processing chamber 103 a) is heated to a predetermined temperature (mouth temperature), for example, 300 ° C. by the heating heater 107.
  • the lid 104 is raised by a boat elevator (not shown), and the wafer boat 105 (wafer 106) is loaded into the processing chamber 103a.
  • the wafer 106 is accommodated in the processing chamber 103a, and the processing chamber 103a is sealed.
  • nitrogen gas (N 2 ) is supplied from the purge gas supply pipe 117 into the processing chamber 103 a at a predetermined flow rate, for example, about 20 liters / min. Emit pollutants such as materials.
  • the nitrogen gas is supplied for a predetermined time, for example, about 5.5 minutes (loading step).
  • the pressure in the processing chamber 103a is started. Specifically, nitrogen gas is supplied from the purge gas supply pipe 1 17 into the processing chamber 103 a at a predetermined flow rate, for example, 20 liters / min, and while controlling the combination rate of the combination valve 115, The vacuum pump 1 16 is driven to discharge the gas in the processing chamber 103 a.
  • the gas in the processing chamber 103 a is discharged when the pressure in the processing chamber 103 a is changed from normal pressure to a predetermined pressure, for example, 13.3 Pa to 2660 Pa (1 Torr to 2 0 0 T orr) c the performed until the processing chamber 1 by raising the temperature for heating the evening 1 0 7 0 3 a within a predetermined temperature (cleaning temperature), for example, 3 0 0 ° C ⁇ 6 0 0 ° C Heat to Then, the decompression and heating operations are performed for a predetermined time, for example, about 17 minutes so that the inside of the processing chamber 103a is stabilized at a predetermined pressure and temperature (stabilization step).
  • a predetermined pressure for example, 13.3 Pa to 2660 Pa (1 Torr to 2 0 0 T orr) c the performed until the processing chamber 1 by raising the temperature for heating the evening 1 0 7 0 3 a within a predetermined temperature (cleaning temperature), for example, 3 0 0 ° C ⁇ 6 0 0
  • the purge gas supply pipe 1 1 When the inside of the processing chamber 103 a is stabilized at a predetermined pressure and temperature, the purge gas supply pipe 1 1 The supply of nitrogen gas from 7 is stopped. Then, a predetermined flow rate of oxygen gas from the oxygen gas supply pipe 111, for example, 1 liter / mir! Further, nitrogen gas is supplied to the purifier 110 at a predetermined flow rate, for example, from 0.008 liter / min to 0.08 liter Zmin from the additional gas supply pipe 112 at up to 10 liters / min. The supplied oxygen gas and nitrogen gas are brought into a state suitable for ozone generation in the purifier 110 and supplied to the ozone generator 109.
  • the supplied oxygen is irradiated with plasma by a plasma generator (not shown) to generate ozone.
  • a processing gas containing ozone at a predetermined concentration for example, 50 g / Nm 3 to 300 g / Nm 3 (2.35 vol 1% to 14.1 vo 1%) is supplied from the ozone generator 109 to the processing gas supply pipe.
  • a predetermined flow rate for example, about 1 liter / min to 10 liters / min, into the processing chamber 103a so as to reach the ceiling of the reaction tube 102 (the upper part of the wafer boat 105) through the 108 (processing gas inlet 8b).
  • the supply of the processing gas into the processing chamber 103a is performed, for example, for 5 to 30 minutes (cleaning step).
  • the ozone generator 109 connected to the processing gas supply pipe 108 is supplied with nitrogen gas in addition to oxygen gas, the generation efficiency of ozone generated by the ozone generator 109 is improved. I do.
  • the processing gas contains NO X, but since the processing gas supply pipe 108 uses a Teflon pipe, the processing gas supply pipe 108 is not easily corroded by NOx. Therefore, there is no possibility that contaminants due to corrosion of the processing gas supply pipe 108 enter the processing chamber 103a.
  • the processing gas containing oxygen atom radicals is moved to the ceiling of the reaction tube 102.
  • the reaction tube 102 is formed in a single tube structure, and since a gap D is provided between the inner wall of the reaction tube 102 and the end of the wafer 106, a predetermined exhaust conductance is obtained and ozone is lost. It becomes difficult to activate (it becomes possible to maintain the activated state of ozone). Further, the inside of the processing chamber 103a can be easily maintained at a low pressure. In addition, the tip 108a Is bent so that the processing gas is supplied above the reaction tube 102 through the outside of the processing area 103b. For this reason, the conductance in the processing chamber 103a can be improved, and the activated state of ozone can be maintained, and the inside of the processing chamber 103a can be easily maintained at a low pressure.
  • the processing gas that has reached the ceiling of the reaction tube 102 is supplied to the processing region 103b by suction from the vacuum pump 116.
  • the pressure in the processing chamber 103a is maintained at a low pressure of 13.3 Pa to 26600 Pa (lTorr to 200 Torr)
  • the processing gas can be uniformly supplied to the processing region 103b.
  • the processing gas can be uniformly supplied to the processing region 103b. This is because the flow rate of the processing gas becomes slower and the processing gas is not affected by the flow rate when it is supplied to the processing area 103b.
  • the exhaust port 113 is disposed so as to face the processing gas supply pipe 108, the influence of the processing gas supplied from the processing gas supply pipe 108 when supplying the processing gas to the processing area 103b is reduced.
  • the exhaust conductance in the processing chamber 103a can be improved. Therefore, the activated state of ozone can be maintained, and the processing gas can be uniformly supplied to the processing region 103b.
  • the organic substances attached to the wafer 106 are decomposed by the oxygen atom radicals in the processing gas, and the organic substances are removed from the wafer 106. Note that the removed organic matter is sucked into the exhaust pipe 114 through the exhaust port 113 and exhausted to the outside of the reaction tube 102.
  • the supply of the processing gas (nitrogen gas, oxygen gas, ozone) from the processing gas supply pipe 108 is stopped. Then, while controlling the opening of the combination valve 115, the vacuum pump 116 is driven to discharge the gas in the processing chamber 103a, and then a predetermined flow rate of nitrogen gas, for example, 10 liters, is supplied from the purge gas supply pipe 117. / min to discharge the gas in the processing chamber 103a to the exhaust pipe 114.
  • the supply of the nitrogen gas from the purge gas supply pipe 117 is performed, for example, for 10 minutes (purge step). In order to ensure that the gas in the processing chamber 103a is discharged, the discharge of the gas in the processing chamber 103a and the supply of nitrogen gas should be repeated several times. Is preferred.
  • a nitrogen gas is supplied from the purge gas supply pipe 117 at a predetermined flow rate, for example, 20 liters / min for about 5.5 minutes, and the inside of the processing chamber 103a is at normal pressure (760 Torr). And unload the wafer boat 105 loaded with the wafer 106 from the processing chamber 103a (about one door opening).
  • the sample of the wafer 106 to which the organic substance was adhered was cleaned under various conditions.
  • An oxide film with a thickness of 100 angstroms is formed on wafer 1 at 6, and the surface of this oxide film is washed with diluted hydrofluoric acid (DHF) for 1 minute, and then placed in a clean room.
  • DHF diluted hydrofluoric acid
  • the amount of organic matter deposited was measured using the contact angle method.
  • five points on the wafer 106 were measured, and the average value was obtained.
  • the contact angle of the prepared sample was 36 °.
  • the contact angle of the pure water ball does not become 0 °, and it is difficult to perform a precise measurement at a low angle. Therefore, it is considered that organic substances were almost completely removed from the wafer 106 having a contact angle of 2 degrees or less.
  • Example 7 300 26 600 30 7.05 ⁇ 2 3 1.4 1.4 1.3 Crane example 8 300 133 5 7.05 ⁇ 2 3 1.5 1.4 1.9
  • Example 9 300 133 30 2.35 ⁇ 2 3 1.7 1.6 1.8
  • Example 10 300 133 30 14.1 ⁇ 2 3 1.2 1.2 1.0 Tsuru 11 300 133 30 7.05 ⁇ 2 100 1.5 1.2 1.3 Difficult 12 300 133 30 7.05 co 2 3 2.0 1.3 1.3 Difficult 13 300 133 30 7.05 co 2 100 2.0 1.3 1.5 it ⁇ !
  • Table 2 shows the cleaning conditions. As shown in Table 2, the temperature of the processing chamber 103a (Examples 1 to 4, Comparative Example 2, and Comparative Example 3), the pressure of the processing chamber 103a (Example 7), and the cleaning time (Example 8) The cleaning is performed by changing the ozone concentration in the processing gas (Examples 9 and 10), the type of additive gas (Examples 12 and 13), etc., and the temperature, pressure and time are cleaned. The effect on the effect was investigated. In addition, here, in order to facilitate the experiment, wafers 106 are stored one by one (total of 3 wafers) in the three places of upper (T), center (C) and lower (B) of wafer boat 105. The test was performed.
  • Example 5 and Example 6 in Table 2 and FIG. 6 when the temperature of the processing chamber 103a is 200 ° C. and the pressure of the processing chamber 103a is low (133 Pa), Can reduce the amount of carbon adhering to about 1/3 compared to the conventional cleaning with oxygen gas (Comparative Example 1). In the case of high pressure (2660 OPa), it is possible to almost completely remove the organic substances adhering to the wafer 106. Can be.
  • the temperature of the processing chamber 103a is 200 ° C.
  • the pressure of the processing chamber 103a by setting the pressure of the processing chamber 103a to 26600 Pa, organic substances attached to the wafer 106 can be almost completely removed.
  • a pressure of 103 a of 133 Pa organic substances cannot be almost completely removed.
  • the temperature of the processing chamber 103a is set higher than 600 ° C., it is possible to almost completely remove the organic substances adhered to the wafer 106, but the wafer 106 may be thermally oxidized.
  • the temperature of the processing chamber 103a becomes the same as that of the conventional cleaning method. For this reason, the temperature of the processing chamber 103a is more preferably set to 300 ° C to 500 ° C.
  • the pressure in the processing chamber 103a is preferably set to 133 Pa to 2660 OPa.
  • the cleaning time was 5 minutes to 30 minutes, it was confirmed that the organic substances attached to the wafer 106 were almost completely removed. If the cleaning time is shorter than 5 minutes, the organic substances adhering to the wafer 106 may not be almost completely removed.If the cleaning time is longer than 30 minutes, the wafer 106 can be efficiently cleaned. It's gone. For this reason, the cleaning time is preferably set to 5 to 30 minutes. However, it is possible to further lengthen or shorten this time depending on the amount of the organic substance adhering to the wafer 106.
  • Example 1 and Example 11 in Table 2 and FIG. 6 even if the number of wafers 106 in wafer boat 105 is changed from three to 100, it adheres to wafer 106. It was confirmed that there was no effect on the removal of the organic matter. This is because the conductance in the processing chamber 103a is improved and the pressure in the processing chamber 103a is maintained at a low pressure. For this reason, even if the number of wafers 106 in the wafer boat 105 increases to, for example, 100, the pressure in the processing chamber 103a, the cleaning time, and the ozone concentration in the processing gas. Shows a similar tendency.
  • the contact angle method is generally susceptible to the surface condition of the plane (wafer 106) on which pure water is dropped, and if the surface shape of the wafer 106 changes due to cleaning, the amount of organic matter attached will be accurate. Therefore, the surface shape of the wafer 106 before and after cleaning was confirmed. As a result, it is confirmed that the surface shape of the wafer 106 has hardly changed before and after cleaning.
  • the processing chamber 103 a is heated to a temperature at which ozone can be activated (200 ° C. or higher), and ozone is introduced into the processing chamber 103 a. Since the processing gas containing the gas is supplied, the efficiency of removing organic substances attached to the wafer 106 can be improved as compared with the conventional cleaning using oxygen gas.
  • the processing chamber 103 a is heated to 300 ° C. to 500 ° C., and the processing chamber 103 a contains ozone. By supplying the gas, the efficiency of removing organic substances attached to the wafer 106 at a lower temperature can be improved as compared with the conventional cleaning using oxygen gas.
  • the reaction tube 102 is formed in a single tube structure, and the space D is provided between the inner wall of the reaction tube 102 and the end of the wafer 106, so that ozone It becomes easier to maintain the activated state.
  • the inside of the processing chamber 103a can be easily maintained at a low pressure, and the processing gas can be uniformly supplied to the processing region 103b. Therefore, the organic substances adhering to the plurality of wafers 106 can be simultaneously removed by one cleaning process.
  • the processing gas is allowed to reach the ceiling of the reaction tube 102 once and is supplied to the processing region 103 b by suction from the vacuum pump 116, so that the processing region 103 b Process gas can be supplied uniformly.
  • the ozone generator 109 is supplied with nitrogen gas in addition to oxygen gas, the generation efficiency of ozone generated by the ozone generator 109 is improved.
  • the film-forming gas supply pipes 122 are arranged in the non-processing region 103 c and communicate with the side surfaces of the manifold 103.
  • the deposition gas supply pipes 122 are connected to a combustion device (not shown).
  • the combustion device generates steam by burning oxygen gas and hydrogen gas, and supplies the steam to the deposition gas supply pipe 122.
  • the film-forming gas supply pipe 122 is formed in a bent shape such that its tip portion 122a faces the direction (upward) of the processing region 103b. For this reason, the film forming gas supplied from the film forming gas supply pipe 122 is jetted upward from the reaction tube 102.
  • the leading end portion 122 a of the film forming gas supply tube 122 holds the film forming gas ejected upward, A position that is supplied outside the processing region 103 b (for example, the space formed by the gap D shown in FIG. 7) and above the processing region 103 b (the upper part of the reaction tube 102). It is arranged in.
  • the inside of the processing chamber 103a is heated to a predetermined temperature, for example, 750 ° C. by the heating heater 107. And, this decompression and heating operation, The process is performed for a predetermined time so that the inside of the processing chamber 103 a is stabilized at a predetermined pressure and temperature (first purge step).
  • the steam since the steam has once reached the ceiling of the reaction tube 102, the steam can be uniformly supplied to the processing region 103b. For this reason, a uniform silicon oxide film can be formed on the wafer 106.
  • the removal of the organic matter attached to the wafer 106 (cleaning step) and the formation of the silicon oxide film on the wafer 106 from which the organic matter was removed (thin film formation step) are performed by the same single heat treatment apparatus 121.
  • a silicon oxide film can be easily formed on the wafer 106.
  • the organic material is transferred to the wafer 106 between the cleaning process and the thin film forming process. There is no risk of adhesion.
  • nitrogen gas is supplied from the purge gas supply pipe 117 at a predetermined flow rate, for example, 20 liters / min for about 5.5 minutes, and the inside of the processing chamber 103a is set to normal pressure (76 OT or r) and the wafer boat 105 (wafer 106) is unloaded from the processing chamber 103a.
  • the batch-type vertical heat treatment apparatus 101 is used to remove organic substances attached to the wafer 106, but a single-wafer heat treatment apparatus may be used. Also in this case, the organic substances attached to the wafer 106 can be efficiently removed at a low temperature.
  • the removal of the organic substances attached to the wafer 106 and the formation of the silicon oxide film on the wafer 106 were performed by the same one heat treatment apparatus 122. May be performed in separate devices.
  • a silicon oxide film is formed by supplying water vapor to the wafer 106 from which organic substances have been removed.
  • a silicon oxide film is formed by supplying ozone to the wafer 106 from which organic substances have been removed. May be.
  • the film forming gas supply pipe 122 becomes unnecessary, and the structure of the heat treatment apparatus 121 can be simplified.
  • the thin film formed on the wafer 106 is not limited to a silicon oxide film, but may be another thin film, for example, a silicon nitride film.
  • the processing gas supply pipe 108 (deposition gas supply pipe 122) is disposed in the non-processing area 103c, and the processing gas (deposition gas) is supplied to the reaction tube.
  • the processing gas film formation gas
  • a processing gas supply pipe 108 (deposition gas supply pipe 122) may be arranged on the ceiling of the 02 to supply a processing gas (deposition gas) to the processing area 103b.
  • the end portion 1 2 2 a of the deposition gas supply pipe 1 2 2) is in the non-processing region 103 c, but the length of this portion is arbitrary and longer than the lengths shown in FIGS. May be shorter No.
  • the processing gas introduction part 8b (the tip part 122a) may be a porous (dispersed) nozzle.
  • the loading temperature and the cleaning temperature are substantially equal.
  • the cleaning temperature in the second embodiment is preferably set to 300 ° C. In this case, the temperature operation for the cleaning step is not required.
  • the cleaning step may be performed during the temperature rise from the loading temperature to the film forming temperature.
  • the cleaning step and the temperature rise to the film formation temperature can be performed simultaneously, and the time for forming the thin film on the wafer 106 can be shortened.
  • the ozone generator 109 is not limited to one supplied with oxygen gas, nitrogen gas or carbon dioxide gas.
  • the oxygen gas supply pipe 111 may be connected to the purifier 110 to supply only the oxygen gas to the ozone generator 109.
  • ozone can be generated by the ozone generator 109.
  • the number of the processing gas supply pipes 108 and the film formation gas supply pipes 122 is not limited to one, and may be plural.
  • the object to be processed is not limited to the wafer 106, and may be, for example, a glass substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

明 細 書 被処理体の処理方法および処理装置 発明の背景
発明の属する技術分野
本発明は、 半導体ウェハ等の被処理体の処理方法および処理装置に関する。 詳 しくは、 被処理体に付着した有機物を除去する方法およびその装置、 並びに有機 物が除去された被処理体に更に薄膜を形成する方法およびその装置に関する。 関連技術の説明
半導体装置の製造工程では、 例えば C V D (Chemical Vapor Deposition) 等 の処理によって、 被処理体、 例えばウェハ (以下、 「ウェハ」 という) にポリシ リコン膜、 シリコン酸化膜等の薄膜を形成することが広く行われている。
このような薄膜を形成する工程は、 ウェハに汚染物質が付着するのを防止する ために、 一般にクリーンルーム内で行われている。 しかし、 クリーンルームであ つても汚染物質を完全に除去するのは困難なため、 例えばトリプチルホスファー ト (Tri Butyl Phosphate: T B P ) 、 シロキサン、 フ夕ル酸ジォクチル (dioct yl phyhalate: D 0 P ) 等の微量の有機物 (汚染物質) が含まれており、 このよ うな有機物がウェハに付着してしまう場合がある。 このような有機物が付着した 状態でウェハ上に薄膜を形成すると、 半導体装置の特性が悪化し、 歩留まりが悪 くなるという問題が発生してしまう。 このため、 ウェハ上に薄膜を形成する前に は、 ウェハに付着した有機物を除去するウェハのクリーニングが行われている。 ウェハのクリーニングは、 例えば、 図 9に示すような処理装置を用いて行われ る。 まず、 処理装置 5 1内の載置台 5 2上にウェハ 5 3を載置する。 次に、 載置 台 5 2内に配設されたヒータ 5 4により処理装置 5 1内すなわちウェハ 5 3を所 定の温度、 例えば 6 0 0 °Cに加熱する。 続いて、 導入ポート 5 5から処理装置 5 1内に、 処理ガス、 例えば酸素ガスを供給する。 供給された酸素ガスは、 ウェハ 5 3近傍で熱分解されて酸素原子ラジカル (0 *) を生成し、 ウェハ 5 3の表面 に付着した有機物を分解する。 そして、 この分解された有機物は排気ポート 5 6 を介して処理装置 5 1外に排出される。 以上によりウェハ 5 3がクリーニングさ れる。
しかしながら、 半導体装置の高集積化に伴うデバイスパターンの微細化により、 ウェハ 5 3に付着した有機物量に対する許容範囲が厳しくなっており、 さらなる 有機物の除去効率の向上が望まれている。
また、 上記のクリーニング方法では、 酸素原子ラジカルを生成するために、 処 理装置 5 1内 (ウェハ 5 3 ) を、 例えば 6 0 0 °Cのような高温に加熱しなければ ならない。 これはウェハの熱酸化防止の観点からは好ましくない。
さらに、 上記のクリーニング方法では、 ウェハ 5 3を 1枚ずつクリーニングし ているので、 多数のウェハ 5 3をクリーニングする場合には、 クリーニングに要 する時間が長くなつてしまう。
加えて、 上記のクリーニング方法では、 クリーニングされたウェハ 5 3に薄膜 を形成する場合、 ウェハ 5 3を薄膜形成装置に搬送する間に、 ウェハ 5 3に新た な有機物が付着してしまうおそれがある。 発明の要約
本発明は、 上記問題に鑑みてなされたものであり、 被処理体に付着した有機物 の除去効率を向上させることができる被処理体の処理方法および処理装置を提供 することを目的とする。
本発明のさらなる目的は、 比較的低温で効率良く被処理体に付着した有機物を 除去できる処理方法および処理装置を提供することにある。
本発明のさらなる目的は、 短時間で複数枚の被処理体に付着した有機物を除去 することができる被処理体の処理方法および処理装置を提供することにある。 本発明のさらなる目的は、 有機物が除去された被処理体に更に薄膜を形成する 処理方法および処理装置を提供することにある。
本発明のさらなる目的は、 同じ一つの装置により、 有機物の除去および薄膜形 成を行うことができる処理方法および処理装置を提供することにある。
上記目的を達成するため、 この発明の第 1の観点にかかる被処理体の処理方法 は、 有機物が付着した被処理体を反応室に収容する工程と、 前記反応室を所定の 温度に加熱するとともに処理ガスを供給して、 前記有機物を前記被処理体から除 去する工程と、 を備え、 前記処理ガスは酸化性ガスと還元性ガスとを含み、 前記 反応室の温度を前記酸化性ガス及び前記還元性ガスが活性化可能な温度に加熱す る、 ことを特徴とする。
この方法によれば、 有機物が付着した被処理体を収容した反応室に酸化性ガス と還元性ガスとを含む処理ガスが供給される。 そして、 反応室内で燃焼反応が起 こり、 酸素活性種 (0 =*=) 及び水酸基活性種 (O H*) が生成される。 この酸素活 性種及び水酸基活性種により、 被処理体に付着した有機物が酸化、 分解されて、 被処理体から有機物が除去される。
前記酸ィ匕性ガスには、 例えば、 02、 N 20、 N Oよりなる群から選択される少 なくとも 1つのガスが含まれる。 また、 前記還元性ガスには、 例えば、 H 2、 N E s C H 4よりなる群から選択される少なくとも 1つのガスが含まれる。
前記反応室の温度を少なくとも 3 5 0 °Cに加熱することが好ましい。 このよう に、 反応室の温度を従来より下げても、 被処理体に付着した有機物を除去するこ とができる。
前記反応室内の圧力を 1 3 3 P a〜3 9 9 P aに設定することが好ましい。 こ のように反応室内が低圧に設定されていると、 被処理体に均一に処理ガスを供給 することができる。
前記反応室に、 前記有機物が付着した被処理体を複数収容してもよい。 この場 合、 一度の処理により複数の被処理体に付着した有機物を除去することができ、 有機物の除去に要する時間を短くすることができる。
この発明の第 2の観点にかかる被処理体の処理装置は、 所定の温度に設定可能 な加熱部を有し、 被処理体を収容する反応室と、 前記反応室内に酸化性ガスと還 元性ガスとを含む処理ガスを供給する処理ガス供給手段と、 前記反応室内のガス を排気する排気手段と、 前記加熱部により前記反応室を前記酸化性ガス及び前記 還元性ガスが活性化可能な温度に加熱させる制御手段とを備える、 ことを特徴と する。
この装置によれば、 処理ガス供給手段により、 有機物が付着した被処理体を収 容した反応室に酸化性ガスと還元性ガスとを含む処理ガスが供給される。 また、 制御手段に制御された加熱部により、 酸化性ガス及び還元性ガスが活性化可能な 温度に反応室が加熱される。 そして、 反応室で燃焼反応が起こり、 酸素活性種及 ぴ水酸基活性種が生成される。 この酸素活性種及び水酸基活性種により、 被処理 体に付着した有機物が酸化、 分解されて、 被処理体から有機物が除去される。 前記酸化性ガスには、 例えば、 02、 N20、 N Oよりなる群から選択される一 少なくとも 1つのガスが含まれる。 また、 前記還元性ガスには、 例えば、 H 2、 N H ss C H 4よりなる群から選択される少なくとも 1つのガスが含まれる。 前記制御手段は前記加熱部に前記反応室の温度を少なくとも 3 5 0 °Cに加熱さ せることが好ましい。 このように反応室の温度を従来より下げても、 被処理体に 付着した有機物を除去することができる。
前記制御手段は前記排気手段に前記反応室内のガスを排気させ、 前記反応室内 の圧力を 1 3 3 P a〜3 9 9 P aに維持することが好ましい。 このように反応室 内が低圧に設定されていると、 被処理体に均一に処理ガスを供給することができ o
前記反応室は前記被処理体を複数収容可能な被処理体収容部を備え、 前記制御 手段は前記処理ガスを前記被処理体収容部に供給させ、 前記複数の被処理体に付 着した有機物を除去することが好ましい。 この場合、 一度の処理により複数の被 処理体に付着した有機物を除去することができ、 有機物の除去に要する時間を短 くすることができる。
この発明の第 3の観点にかかる被処理体の処理方法は、 有機物が付着した被処 理体を反応室に収容する工程と、 該反応室を所定の温度に加熱するとともに処理 ガスを供給して、 前記有機物を前記被処理体から除去する工程と、 を備え、 前記 処理ガスはォゾンを含み、 前記反応室の温度を前記オゾンが活性化可能な温度に 加熱する、 ことを特徴とする。
この方法によれば、 有機物が付着した被処理体を収容した反応室にォゾンを含 む処理ガスが供給される。 そして、 反応室内でオゾンが活性化されて酸素原子ラ ジカルが生成される。 この酸素原子ラジカルにより、 被処理体に付着した有機物 が分解されて、 被処理体から有機物が除去される。 このため、 被処理体に付着し た有機物の除去効率を向上させることができる。 前記反応室に前記有機物が付着した被処理体を複数枚収容してもよい。 この場 合、 一度の処理により複数枚の被処理体に付着した有機物を除去するこどができ、 有機物の除去に要する時間を短くすることができる。
前記反応室の温度を、 例えば 3 0 0 °C;〜 5 0 0 °Cに加熱することが好ましい。 このように反応室の温度を従来より下げても、 被処理体に付着した有機物を除去 することができる。
前記反応室内の圧力を 1 3 . 3 P a〜2 6 6 0 0 P aに設定することが好まし い。 このように反応室内が低圧に設定されていると、 被処理体に均一に処理ガス を供給することができる。
前記有機物としては、 例えばトリプチルホスフアート、 シロキサン、 フ夕ル酸 ジォクチルの少なくとも一つがある。
前記反応室の前記被処理体を処理する処理領域の一方側の非処理領域から、 前 記処理領域の他方側に到達するように処理ガスを供給するとともに、 前記処理領 域の一方側の非処理領域から前記反応室内のガスを排気することにより、 前記処 理領域の他方側に到達した処理ガスを前記処理領域に供給することが好ましい。 この場合、 処理領域の他方側に到達した処理ガスは、 反応室内のガスを排気する ことにより、 処理領域に均一に供給される。 そして、 処理ガスにより有機物が被 処理体から除去されて反応室外に排気される。
上記方法は、 有機物が除去された被処理体に成膜ガスを供給して、 前記被処理 体に薄膜を形成する薄膜形成工程を更に備えることができる。 この場合、 前記被 処理体を収容する工程と、 前記有機物を除去する工程と、 前記薄膜形成工程とを 一つの同じ装置により行うことが好ましい。 この場合、 被処理体への薄膜の形成 が簡単になる。 また、 前記処理工程から前記薄膜形成工程に移行する間に、 被処 理体に有機物が付着するおそれがなくなる。 また、 前記被処理体を収容する工程 における被処理体を反応室に収容するローディング温度と、 前記有機物を除去す る工程における前記反応室の温度とをほぼ等しくすることが好ましい。 この場合、 有機物を除去する際の温度操作が不要になる。
この発明の第 4の観点にかかる被処理体の処理装置は、 所定の温度に設定可能 な加熱部を有し、 有機物が付着した被処理体を収容する反応室と、 前記反応室内 にオゾンを含む処理ガスを供給する処理ガス供給手段と、 前記反応室内のガスを 排気する排気手段と、 前記加熱部により前記反応室を前記オゾンが活性化可能な 温度に加熱させる制御手段とを備える、 ことを特徴とする。
この装置によれば、 処理ガス供給手段により、 有機物が付着した被処理体を収 容した反応室にオゾンを含む処理ガスが供給される。 そして、 制御手段に制御さ れた加熱部により、 オゾンが活性化可能な温度に反応室が加熱され、 反応室に供 給されたオゾンが活性化されて酸素原子ラジカルが生成される。 この酸素原子ラ ジカルにより、 被処理体に付着した有機物が分解されて、 被処理体から有機物が 除去される。
前記反応室は前記被処理体を複数収容可能な被処理体収容部を備え、 前記制御 手段は前記処理ガスを前記被処理体収容部に供給させ、 前記複数の被処理体に付 着した有機物を除去することが好ましい。 この場合、 一度の処理により複数の被 処理体に付着した有機物を除去することができ、 有機物の除去に要する時間を短 くすることができる。
前記反応室は前記ォゾンの活性状態を維持可能なコンダク夕ンスを有すること が好ましい。 このような反応室として、 例えば単管構造の反応室がある。
前記制御手段は前記加熱部に前記反応室の温度を、 例えば 3 0 0 °C;〜 5 0 0 °C に加熱させることが好ましい。 このように反応室の温度を下げても、 被処理体に 付着した有機物の除去効率を向上させることができる。
前記制御手段は前記排気手段に前記反応室内のガスを排気させ、 前記反応室の 圧力を、 例えば 1 3 . 3 P a〜2 6 6 0 O P aに維持することが好ましい。 この ように反応室内が低圧に設定されていると、 被処理体に均一に処理ガスを供給す ることができる。
前記処理ガス供給手段はブラズマ発生器から構成されたォゾン発生部を有して おり、 そして、 前記オゾン発生部には酸素ガスと、 窒素ガスまたは二酸化炭素と を供給するオゾン生成ガス供給管が接続されていることが好ましい。 酸素ガスと 窒素ガスとを供給するオゾン生成ガス供給管が接続されていると、 オゾン発生部 で発生するオゾンの発生効率が向上する。 また、 酸素ガスと二酸化炭素とを供給 するオゾン生成ガス供給管が接続されていると、 オゾン発生部で生成される処理 ガス中に N O xが含まれなくなり、 反応室内に処理ガスを供給する処理ガス供給 手段が腐食しにくくなる。
前記反応室は被処理体を処理する処理領域を有するとともに、 少なくとも該処 理領域の一方側に非処理領域を有し、 前記処理ガス供給手段及び前記排気手段は 前記処理領域の一方側の非処理領域に配置され、 前記制御手段は、 前記処理ガス 供給手段に該非処理領域から前記処理領域の他方側に到達するように処理ガスを 供給させるとともに、 前記排気手段に前記反応室内のガスを排気させることによ り、 前記処理領域の他方側に到達したォゾンを前記処理領域に供給することが好 ましい。 この場合、 処理ガス供給手段により処理領域の他方側に到達した処理ガ スは、 排気手段により処理領域に均一に供給される。 そして、 処理ガスにより有 機物が被処理体から除去されて反応室外に排気される。
前記処理ガス供給手段は前記反応室内に処理ガスを供給する処理ガス供給管を 備えている。 そして、 該処理ガス供給管の先端部分は、 前記一方側の非処理領域 から非処理領域を通って前記他方側の非処理領域に供給されるように、 当該他方 側の非処理領域方向に曲折りされていることが好ましい。 この場合、 反応室内の コンダクタンスが向上する。
また、 上記処理装置は、 反応室内に成膜ガスを供給する成膜ガス供給手段と、 加熱部により前記反応室内を所定の温度に加熱させるとともに、 前記成膜ガス供 給手段により有機物が除去された被処理体に前記成膜ガスを供給して、 当該被処 理体に薄膜を形成させる成膜制御手段とを更に備えて構成することができる。 図面の簡単な説明
図 1は、 本発明による処理装置の第 1実施形態を示す概略図である。
図 2は、 図 1に示す処理装置により実行されるクリーニング手順を説明するた めのレシピを示した図である。
図 3は、 表 1に示す各クリーニング条件における有機物の付着量 (接触角) を 示したグラフである。
図 4は、 本発明による処理装置の第 2実施形態を示す概略図である。
- 図 5は、 図 4に示す処理装置により実行されるクリーニング手順を説明するた めのレシピを示した図である。
図 6は、 表 2に示す各クリ一ニング条件における有機物の付着量を示したグラ フである。
図 Ίは、 本発明による処理装置の第 3実施形態を示す概略図である。
図 8は、 図 7に示す処理装置により実行されるクリーニング手順および薄膜形 成手順を説明するためのレシビを示した図である。
図 9は、 従来の処理装置の模式図である。 好適な実施形態の説明
以下に添付図面を参照して本発明の好適な実施形態について説明する。
[第 1の実施形態]
まず、 本発明の第 1実施形態を、 図 1に示すバッチ式縦型熱処理装置を用いて 半導体ウェハ (以下、 「ウェハ」 という) すなわち被処理体上に付着した有機物 を分解、 除去 (クリーニング) する場合を例にとって説明する。
図 1に示すように、 熱処理装置 1は、 長手方向が垂直方向に向けられた略円筒 状の反応管 2を備えている。 反応管 2は、 内部に成膜領域を構成する内管 3と、 内管 3を覆うと共に内管 3と一定の間隔を有するように形成ざれた有天井の外管 4とから構成された二重管構造を有する。 内管 3及び外管 4は、 耐熱材料、 例え ば石英により形成されている。
外管 4の下方には、 筒状に形成されたステンレス鋼 (S U S ) からなるマニホ 一ルド 5が配置されている。 マ二ホールド 5は、 外管 4の下端と気密に接続され ている。 また、 内管 3は、 マ二ホールド 5の内壁から突出すると共に、 マニホ一 ルド 5と一体に形成された支持リング 6に支持されている。
マ二ホールド 5の下方には蓋体 7が配置され、 ボートエレべ一夕 8により蓋体 7は上下動可能である。 そして、 蓋体 7が上昇すると、 マ二ホールド 5の下方側 が閉鎖される。
蓋体 7には、 石英からなるウェハボート 9が載置されている。 ウェハボート 9 には、 ウェハ 1 0が垂直方向に所定の間隔をおいて複数枚収容されている。 反応管 2の周囲には、 反応管 2を取り囲むように断熱体 1 1が設けられ、 その 内壁面には、 抵抗発熱体からなる昇温用ヒー夕 1 2が設けられている。 そして、 昇温用ヒータ 1 2を動作させることにより、 反応管 2内が所定の温度に設定され る。
マ二ホールド 5の側面には、 複数のガス導入管が揷通されている。 本実施形態 においては、 第 1ガス導入管 1 3と第 2ガス導入管 1 4との 2つのガス導入管が マ二ホールド 5の側面に揷通されている。
第 1ガス導入管 1 3は内管 3内を臨むように配設されている。 図 1に示すよう に、 支持リング 6より下方 (内管 3の下方) のマ二ホールド 5の側面から第 1ガ ス導入管 1 3が揷通されている。 そして、 第 1ガス導入管 1 3から、 例えば、 酸 素ガス (02) のような酸化性ガスが内管 3内に導入される。
第 2ガス導入管 1 4は内管 3内を臨むように配設され、 第 1ガス導入管 1 3と 同様に、 支持リング 6より下方 (内管 3の下方) のマ二ホールド 5の側面に挿通 されている。 そして、 第 2ガス導入管 1 4から、 例えば水素ガス (H のよう な還元性ガスが内管 3内に導入される。
マ二ホールド 5の側面には排出口 1 5が設けられている。 排出口 1 5は支持リ ング 6より上方に設けられており、 反応管 2内の内管 3と外管 4との間に形成さ れた空間に連通する。 そして、 第 1ガス導入管 1 3から酸素ガス、 第 2ガス導入 管 1 4から水素ガスが内管 3内に供給されてクリーニングが行われ、 クリーニン グによって分解された有機物が内管 3と外管 4との間を通って排出口 1 5に排出 される。 また、 マ二ホールド 5側面の排出口 1 5の下方には、 パージガスとして の窒素ガスを供給するパージガス供給管 1 6が揷通されている。
排出口 1 5には排気管 1 7が気密に接続されている。 排気管 1 7には、 バルブ 1 8と真空ポンプ 1 9とが介設されている。 バルブ 1 8は、 排気管 1 7の開度を 調整して、 反応管 2内の圧力を所定の圧力に制御する。 真空ポンプ 1 9は、 排気 管 1 Ίを介して反応管 2内のガスを排気するとともに反応管 2内の圧力を調整す る。
ボートエレベータ 8、 昇温用ヒ一夕 1 2、 第 1ガス導入管 1 3、 第 2ガス導入 管 1 4、 パージガス供給管 1 6、 バルブ 1 8および真空ポンプ 1 9には、 制御部 2 0が接続されている。 制御部 2 0は、 マイクロプロセッサ、 プロセスコント口 —ラ等から構成され、 熱処理装置 1の各部の温度、 圧力等を測定し、 測定デ一夕 に基づいて、 上記各部に制御信号等を出力して、 熱処理装置 1の各部を制御する 次に、 熱処理装置 1を用い、 ウェハ 1 0上に付着した有機物を、 酸素ガスと水 素ガスとを含む処理ガスによりクリ一ニングする処理方法について、 図 2に示す レシピ (タイムシーケンス) を参照して説明する。 なお、 以下の説明において、 熱処理装置 1を構成する各部の動作は、 制御部 2 0によりコントロールされてい る。
まず、 蓋体 7が下げられた状態で、 有機物が付着したウェハ 1 0が収容された ウェハボート 9を蓋体 7上に載置する。 また、 昇温用ヒータ 1 2により反応管 2 内を所定の口一ディング温度に設定する。
次に、 ボートエレべ一夕 8により蓋体 7を上昇させ、 ウェハ 1 0を搭載したゥ ェハボート 9を反応管 2の内管 3内にロードする。 これにより、 ウェハ 1 0を反 応管 2内に収容するとともに、 反応管 2を密閉する。 また、 パージガス供給管 1 6から反応管 2内に窒素ガスを所定量供給し、 反応管 2内に混入した有機物等の 汚染物質を排出する (ロード工程) 。
続いて、 反応管 2内の減圧を開始する。 具体的には、 パージガス供給管 1 6か ら反応管 2内に所定量の窒素ガスを供給するとともに、 バルブ 1 8の閧度を制御 しつつ、 真空ポンプ 1 9を駆動させて、 反応管 2内のガスを排出する。 反応管 2 内のガスの排出は、 反応管 2内の圧力が常圧から所定の圧力、 例えば 1 3 3 P a 〜3 9 9 P a ( 1 T o r* r〜3 T o r r ) になるまで行う。
また、 昇温用ヒータ 1 2により反応管 2内を酸化性ガス (酸素ガス) 及び還元 性ガス (水素ガス) が活性化可能な温度である 3 5 0 °C以上に加熱する。 反応管 2の温度を 3 5 0 °Cより低くすると、 酸素ガス及び水素ガスが活性化されないた めである。 ただし、 反応管 2の温度を高くしすぎるとウェハ 1 0の表面が酸化さ れてしまうことから、 反応管 2の温度を、 好ましくは 3 5 0 °C;〜 6 0 0 °C、 さら に好ましくは 3 5 0 °C〜4 0 0 °Cに加熱する。 そして、 この減圧及び加熱操作を、 反応管 2内が所定の圧力及び温度で安定するまで行う (安定化工程) 。
反応管 2内が所定の圧力及び温度で安定すると、 パージガス供給管 1 6からの 窒素ガスの供給を停止する。 そして、 第 1ガス導入管 1 3から酸素ガスを所定流 量、 例えば 1. 8リットル/ minで供給するとともに、 第 2ガス導入管 14か ら水素ガスを所定流量、 例えば、 0. 9リットル/ mi nで反応管 2の内管 3内 に供給する。
反応管 2内は酸素ガス及び水素ガスが供給されると、 酸素ガス及び水素ガスが 活性化されて、 内管 3で以下のような水素の燃焼反応が進行する。
H2 + 02 → H* + H02
Η2+ΟΗ* → H* + H20
02 + Η* → ΟΗ*+0*
Ηζ + 0* → Η*+ΟΗ*
このように、 水素の燃焼反応過程中において、 0* (酸素活性種) と ΟΗ* (水 酸基活性種) とが発生し、 これらによりウェハ 10上に付着した有機物が分解さ れて、 ウェハ 10から有機物が除去される (クリーニング工程) 。 なお、 除去さ れた有機物は、 排気口 15を介して排気管 17に吸引され、 反応管 2外に排気さ れる。
ここで、 反応管 2内の圧力が 133Pa〜399Pa (lTorr〜3Tor r) のような低圧に維持されているので、 ウェハボ一ト 9に収容されたすベての ウエノヽ 10に、 0*、 OH*を、 均一に供給することができる。
ウェハ 10から有機物が除去されると、 第 1ガス導入管 13及び第 2ガス導入 管 14からの処理ガス (酸素ガス、 水素ガス) の供給を停止する。 そして、 ノ ル ブ 18の閧度を制御しつつ、 真空ポンプ 19を駆動させて、 反応管 2内のガスを 排出した後、 パージガス供給管 16から所定量の窒素ガスを供給して、 反応管 2 内のガスを排気管 17に排出する (パージ工程) 。 なお、 反応管 2内のガスを確 実に排出するために、 反応管 2内のガスの排出及び窒素ガスの供給を複数回繰り 返すことが好ましい。
最後に、 パージガス供給管 16から所定量の窒素ガスを供給して、 反応管 2内 を常圧 (760 Torr) に戻し、 ウェハ 10を保持したウェハボート 9を反応 管 2からアン口一ドする (アン口一ドエ程) 。
ここで、 有機物を付着させたウェハ 10のサンプルを、 種々の条件下でクリー ニングを行った。 ウェハ 10に 1000オングストロ一ムの厚さの酸化膜を形成 し、 この酸ィ匕膜の表面を希釈フヅ化水素酸 (D H F ) で 1分間洗浄した後、 クリ ーンルーム内に所定時間放置して、 有機物の付着したウェハ 1 0のサンプルを作 成した。 '
有機物の付着量は接触角法を用いて測定を行った。 接触角法は、 ウェハ 1 0上 に純水を滴下し、 この純水の液滴の接触角を測定する方法である。 ウェハ 1 0に 有機物が付着しているほど疎水性が高くなり接触角が大きくなる。 逆に、 有機物 の付着量が減少すると親水性が高くなり接触角が小さくなる。
ここでは、 ウェハ 1 0上の 5点で接触角を測定し、 この平均値を求めた。 作成 されたサンプルの接触 は 5 7 ° であった。 なお、 有機物が完全に除去されたゥ ェハ 1 0上に純水を落としても、 純水の液滴の接触角は 0 ° にはならず、 また低 角度での厳密な測定は困難であることから、 接触角が 2 ° 以下のウェハ 1 0では、 ほぼ完全に有機物が除去されているものと考えられる。
表 1
Figure imgf000014_0001
表 1にクリーニングの条件を示す。 表 1に示すように、 反応管 2の温度 (実施 例 1、 実施例 2、 比較例 1、 比較例 2 ) 、 反応管 2の圧力 (実施例 3〜5 ) 、 ク リ一ニング時間 (実施例 6、 7 ) 等を変化させクリーニングを行い、 温度、 圧力 および時間が、 クリーニング効果に及ぼす影響を調べた。 なお、 ここでは、 実験 を簡便に行うために、 実施例 8を除き、 ウェハボート 9の上部、 中央部、 下部の 3力所にウェハ 1 0を 1枚ずつ (合計 3枚) のみ収容して試験を行ない、 各部に 収容されたウェハ 1 0の接触角の平均値をもって各例の接触角とした。 なお、 ゥ ェハ 1 0の枚数が多くなつた場合のクリーニング効果は、 実施例 8にて確認した。 この結果を表 1及び図 3に示す。 図 3では各例について、 クリーニング後におけ る液滴の接触角を棒グラフで示した。 また、 参考のため、 クリーニング処理をし ない場合の接触角も、 表 1及び図 3に示した。
表 1及び図 3の実施例 1、 実施例 2に示すように、 反応管 2の温度が 3 5 0 °C、 4 0 0 °Cでは、 ウェハ 1 0に付着した有機物がほぼ完全に除去されていることが 確認できた。 また、 表 1及び図 4の比較例 1、 比較例 2に示すように、 反応管 2 の温度が 3 0 0 °C、 3 3 0 °Cでは、 ウェハ 1 0に付着した有機物が除去されない c これは、 反応管 2の温度が 3 5 0 °Cより低いと、 酸素ガス及び水素ガスが活性化 されないために、 0 *、 O H*が生成されないため、 有機物を分解できないからで ある。 なお、 反応管 2の温度を 3 5 0 °Cより高くしても、 ウェハ 1 0に付着した 有機物を除去することが可能であるが、 反応管 2の温度を高くしすぎるとウェハ 1 0の表面が酸ィ匕されてしまう。 このため、 反応管 2の温度を 3 5 0 °C〜 6 0 0 °Cにすることが好ましく、 3 5 0 °C〜 4 0 0 °Cにすることがさらに好ましい。 表 1及び図 3の実施例 1、 実施例 3〜実施例 5に示すように、 反応管 2の圧力 が 1 3 3 P a〜 3 9 9 P aでは、 ウェハ 1 0に付着した有機物がほぼ完全に除去 されていることが確認できた。 また、 反応管 2の圧力が 3 9 9 P aを越えると、 ウェハボート 9に収容されたすベてのウェハ 1 0に、 0*、 O H*を、 均一に供給 できないおそれがあることから、 反応管 2の圧力は 1 3 3 P a〜 3 9 9 P aにす ることが好ましい。
表 1及び図 3の実施例 1、 実施例 6、 実施例 7に示すように、 クリーニング時 間が 1分〜 3 0分では、 ウェハ 1 0に付着した有機物がほぼ完全に除去されてい ることが確認できた。 クリーニング時間が 1分より短いと、 ウェハ 1 0に付着し た有機物をほぼ完全には除去できなくなるおそれがあり、 クリ一ニング時間が 3 0分より長いと、 ウェハ 1 0のクリーニングを効率的に行うことができなくなつ てしまう。 このため、 クリーニング時間は 1分〜 3 0分にすることが好ましい。 ただし、 ウェハ 1 0に付着する有機物の付着量によっては、 この時間をさらに長 くしたり、 短くしたりすることは可能である。
表 1及び図 3の実施例 1、 実施例 8に示すように、 ウェハボート 9内のウェハ 1 0の枚数を 3枚から 1 0 0枚にしても、 ウェハ 1 0に付着した有機物の除去効 果に影響を与えないことが確認できた。 これは、 反応管 2内を低圧に維持してい るためである。 このため、 ウェハボート 9内のウェハ 1 0の枚数が、 例えば 1 0 0枚のように増えても、 反応管 2の圧力、 クリーニング時間は同様の傾向を示す c なお、 接触角法による測定は、 一般に、 純水を滴下する平面 (ウェハ 1 0 ) の 表面状態に影響を受けやすく、 クリーニングによってウェハ 1 0の表面形状が変 化すると、 有機物の付着量を正確に測定することができなくなってしまうと考え られる。 このため、 クリーニング前後でのウェハ 1 0の表面形状を確認した。 こ の結果、 ウェハ 1 0の表面形状はクリーニング前後でほとんど変化していないこ とが確認できた。
以上説明したように、 本実施形態によれば、 第 1ガス導入管 1 3から酸素ガス、 第 2ガス導入管 1 4から水素ガスを、 3 5 0 °C以上に加熱された反応管 2内に供 給することにより、 ウェハ 1 0に付着した有機物を除去することができる。 この ため、 簡易な熱処理装置 1で、 ウェハ 1 0に付着した有機物を除去することがで きる。 さらに、 従来のクリーニング方法に比べ、 低温下でウェハ 1 0に付着した 有機物を除去することができる。 また、 本実施形態によれば、 後述するオゾンガ スを用いたクリーニングを実施するために必要な紫外線照射装置やプラズマ発生 器等のオゾン発生器が不要となるため、 熱処理装置 1の構造を簡単にすることが できる。
本実施形態によれば、 一度のクリーニングにより、 ウェハボート 9内に収容さ れた複数枚のウェハ 1 0に付着した有機物を除去することができる。 このため、 多数のウェハ 1 0をクリーニングする場合にもクリ一二ングに要する時間を短く することができる。
以下に上記実施形態の変形態様について記す。
上記実施形態では、 酸化性ガスとして酸素ガスを用い、 還元性ガスとして水素 ガスを用いた。 しかしながら、 酸化性ガスとして 02、 N 20、 N Oよりなる群か ら選択される少なくとも 1つのガスを用いてもよく、 また還元性ガスとして、 H 2、 N H 3、 C H 4よりなる群から選択される少なくとも 1つのガスを用いてもよ い。 この場合にも、 前述したような還元性ガスの燃焼過程において生じる酸素活 性種と水酸基活性種とにより、 ウェハ 1 0に付着した有機物を除去することがで きる。 なお、 酸化性ガス及び還元性ガスに、 酸素ガスや水素ガス以外の上記ガス を用いた場合にも、 酸素ガス及び水素ガスを用いた場合と同様の反応管 2の温度、 反応管 2内の圧力等のクリ一ニング条件とすることにより、 ウェハ 1 0に付着し た有機物を除去することができる。
上記実施形態では、 内管 3と外管 4とからなる二重管構造の反応管 2を有する 熱処理装置 1を用いてウェハ 1 0に付着した有機物を除去したが、 図 1に示す熱 処理装置 1から内管 3及び支持リング 6を取り除いたような単管構造の熱処理装 置を用いることもできる。 この場合、 熱処理装置の構造を簡単にすることができ る o
上記実施形態では、 ノ ツチ式縦型の熱処理装置 1を用いてウェハ 1 0に付着し た有機物を除去したが、 枚葉式の熱処理装置を用いてもよい。 この場合にも、 簡 易な装置で、 ウェハ 1 0に付着した有機物を除去することができる。 また、 低温 下でウェハ 1 0に付着した有機物を除去することができる。
また、 第 1ガス導入管 1 3及び第 2ガス導入管 1 4の数は各一つに限らず、 複 数であってもよい。 また、 被処理体はウェハ 1 0に限らず、 例えばガラス基板で あってもよい。
[第 2の実施形態]
次に、 本発明の第 2の実施形態を、 図 4に示すバッチ式縦型熱処理装置を用い て、 ウェハ (被処理体) 上に付着した有機物をオゾンを含む処理ガスにより分解、 除去 (クリーニング) する場合を例にとって説明する。
図 4に示すように、 熱処理装置 1 0 1は、 長手方向が垂直方向に向けられた有 天井の円筒状に形成された単管構造の反応管 1 0 2を備えている。 反応管 1 0 2 は、 耐熱材料、 例えば石英により形成されている。
反応管 1 0 2の下方には、 筒状に形成されたステンレス鋼 (S U S ) からなる マ二ホールド 1 0 3が配置されている。 マ二ホールド 1 0 3は、 反応管 1 0 2の 下端と気密に接続されている。
マ二ホールド 1 0 3の下方には蓋体 1 0 4が配置され、 図示しないボートエレ ベー夕により蓋体 1 0 4は上下動可能である。 この蓋体 1 0 4と、 反応管 1 0 2 と、 マ二ホールド 1 0 3とによって処理室 1 0 3 aが構成されている。 そして、 蓋体 1 0 4が上昇してマ二ホールド 1 0 3に接触すると、 マ二ホールド 1 0 3の 下方側が閉鎖され、 処理室 1 0 3 aが密閉される。
蓋体 1 0 4には、 石英からなるウェハボート 1 0 5が載置されている。 ウェハ ボート 1 0 5には、 被処理体、 例えばウェハ 1 0 6が垂直方向に所定の間隔をお いて複数枚収容されている。 ウェハボート 1 0 5に収容されたウェハ 1 0 6は、 ウェハボート 1 0 5が反応管 1 0 2内に挿入されることにより処理室 1ひ 3 aの 内部に配置され、 このウェハ 1 0 6の配置領域が処理室 1 0 3 aにおける処理領 域 1 0 3 bを構成している。 また、 処理領域 1 0 3 bの周囲が非処理領域を構成 している。
反応管 1 0 2は、 反応管 1 0 2内にウェハボート 1 0 5が挿入された状態で、 反応管 1 0 2の内壁面とウェハボート 1 0 5に搭載されたウェハ 1 0 6の端部と の間に空隙 Dを有するような大きさに形成されている。 この空隙 Dは、 処理室 1 0 3 a内で所定の排気コンダクタンスを得られるように、 オゾンの流量、 反応管 1 0 2内部の圧力、 反応管 1 0 2の高さ等を考慮して、 例えば 2 O mn!〜 5 0 m m程度の大きさに設定されている。
反応管 1 0 2の周囲には、 反応管 1 0 2を取り囲むように、 抵抗発熱体からな る昇温用ヒー夕 1 0 7が設けられ、 昇温用ヒ一夕 1 0 7を動作させることにより、 反応管 1 0 2内が所定の温度に設定される。
処理室 1 0 3 aにおける処理領域 1 0 3 bの一方側 (本実施形態では処理領域 1 0 3 bの下方) の非処理領域 1 0 3 cには、 処理ガス供給管 1 0 8が配置され ている。 本実施形態では、 マ二ホールド 1 0 3の側面に処理ガス供給管 1 0 8が 揷通されている。 この処理ガス供給管 1 0 8は、 配管の腐食を防止するためにテ フロン配管が用いられている。 処理ガス供給管 1 0 8は、 その先端部分 1 0 8 a がウェハ 1 0 6の収容位置、 すなわち処理領域 1 0 3 bの方向 (上方) を向くよ うに曲折りされた屈曲形状に形成されており、 先端部分 1 0 8 aにおいて処理領 域 1 0 3 bに向かう処理ガス導入部 1 0 8 bを有している。 このため、 処理ガス 供給管 1 0 8の処理ガス導入部 1 0 8 bから供給されたオゾンを含む処理ガスは、 反応管 1 0 2の上側に向けて噴出する。 また、 先端部分 1 0 8 aは、 処理ガス供 給管 1 0 8から上方に向けて噴出した処理ガスが、 処理領域 1 0 3 b外 (例えば 図 4に示す、 空隙 Dに相当する空間) を通って、 処理領域 1 0 3 bの他方側すな わち反応管 1 0 2の上部に供給されるような位置に配設されている。
処理ガス供給管 1 0 8はオゾン発生器 1 0 9に接続されている。 オゾン発生器 1 0 9は、 例えばプラズマ発生器等から構成され、 酸素を基としてオゾンを生成 する。 オゾン発生器 1 0 9には、 ピュリファイャ 1 1 0を介して、 酸素ガス供給 管 1 1 1と添加ガス供給管 1 1 2とが接続されている。 そして、 酸素ガス供給管 1 1 1からの酸素ガスと、 添加ガス供給管 1 1 2からの窒素ガスまたは二酸化炭 素ガスからなる添加ガスとがビュリファイャ 1 1 0に供給され、 ピュリファイャ 1 1 0により、 オゾン発生に適した純度 (不純物、 特に水分による腐食性ガスの 発生を抑制) にされて、 オゾン発生器 1 0 9に供給される。
非処理領域 1 0 3 cにおけるマ二ホールド 1 0 3側面には、 排気口 1 1 3が設 けられている。 排気口 1 1 3は、 非処理領域 1 0 3 cにおいて処理ガス供給管 1 0 8と対向する位置に設けられ、 反応管 1 0 2内のガスを排気する。
排気口 1 1 3には、 排気管 1 1 4が気密に接続されている。 排気管 1 1 4には、 その上流側から、 コンビネーションバルブ 1 1 5と、 真空ポンプ 1 1 6とが順に 介設されている。 コンビネーションバルブ 1 1 5は、 排気管 1 1 4の開度を調整 して、 反応管 1 0 2内及び排気管 1 1 4内の圧力を所定の圧力に制御する。 真空 ポンプ 1 1 6は、 排気管 1 1 4を介して反応管 1 0 2内のガスを排気するととも に反応管 1 0 2内及び排気管 1 1 4内の圧力を調整する。
マ二ホールド 1 0 3側面の排気口 1 1 3の下方には、 パージガス、 例えば窒素 ガスを供給するパージガス供給管 1 1 7が揷通されている。
また、 オゾン発生器 1 0 9、 ピュリファイャ 1 1 0、 酸素ガス供給管 1 1 1、 添加ガス供給管 1 1 2、 コンビネーションバルブ 1 1 5、 真空ポンプ 1 1 6及び パージガス供給管 1 1 7には、 制御部 1 2 0が接続されている。 制御部 1 2 0は、 マイクロプロセッサ、 プロセスコントローラ等から構成され、 熱処理装置 1 0 1 の各部の温度、 圧力等を測定し、 測定データに基づいて、 上記各部に制御信号等 を出力して、 熱処理装置 1 0 1の各部を制御する。
次に、 熱処理装置 1 0 1を用い、 ウェハ 1 0 6上に付着した有機物をオゾンを 含む処理ガスによりクリーニングする処理方法について、 図 5に示すレシピ (夕 ィムシーケンス) を参照して説明する。 なお、 以下の説明において、 熱処理装置 1 0 1を構成する各部の動作は、 制御部 1 2 0によりコントロールされている。 まず、 蓋体 1 0 4が下げられた状態で、 有機物が付着したウェハ 1 0 6が収容 されたウェハボート 1 0 5を蓋体 1 0 4上に載置する。 また、 昇温用ヒー夕 1 0 7により反応管 1 0 2 (処理室 1 0 3 a ) 内を所定の温度 (口一ディング温度) 、 例えば 3 0 0 °Cに加熱する。
次に、 図示しないボートエレべ一夕により蓋体 1 0 4を上昇させ、 ウェハボ一 ト 1 0 5 (ウェハ 1 0 6 ) を処理室 1 0 3 a内にロードする。 これにより、 ゥェ ハ 1 0 6を処理室 1 0 3 a内に収容するとともに、 処理室 1 0 3 aを密閉する。 また、 パージガス供給管 1 1 7から処理室 1 0 3 a内に窒素ガス (N2) を所定 流量、 例えば約 2 0リットル/ m i nで供給し、 処理室 1 0 3 a内の混入した有 機物等の汚染物質を排出する。 この窒素ガスの供給を所定時間、 例えば約 5 . 5 分間行う (ロード工程) 。
続いて、 処理室 1 0 3 a内の減圧を開始する。 具体的には、 パージガス供給管 1 1 7から処理室 1 0 3 a内に窒素ガスを所定流量、 例えば 2 0リットル/ m i nで供給するとともに、 コンビネーションバルブ 1 1 5の閧度を制御しつつ、 真 空ポンプ 1 1 6を駆動させて、 処理室 1 0 3 a内のガスを排出する。処理室 1 0 3 a内のガスの排出は、 処理室 1 0 3 a内の圧力が常圧から所定の圧力、 例えば 1 3 . 3 P a〜2 6 6 0 0 P a ( 1 T o r r〜 2 0 0 T o r r ) になるまで行う c また、 昇温用ヒー夕 1 0 7により処理室 1 0 3 a内を所定の温度 (クリーニング 温度) 、 例えば 3 0 0 °C〜6 0 0 °Cに加熱する。 そして、 この減圧及び加熱操作 を、 処理室 1 0 3 a内が所定の圧力及び温度で安定するように、 所定時間、 例え ば約 1 7分間行う (安定化工程) 。
処理室 1 0 3 a内が所定の圧力及び温度で安定すると、 パージガス供給管 1 1 7からの窒素ガスの供給を停止する。 そして、 酸素ガス供給管 111から酸素ガ スを所定流量、 例えば 1リットル/ m i r!〜 10リツトル/ m i nで、 さらに添 加ガス供給管 112から窒素ガスを所定流量、 例えば 0. 008リツトル/ mi n〜0. 08リヅトル Zminでピュリファイャ 110に供給する。供給された 酸素ガス及び窒素ガスは、 ピュリファイャ 110でオゾン発生に適した状態にさ れ、 オゾン発生器 109に供給される。
オゾン発生器 109では、 例えば、 図示しないプラズマ発生器により、 供給さ れた酸素にプラズマを照射してオゾンを生成させる。 そして、 所定濃度、 例えば 50 g/Nm3〜300 g/Nm3 (2. 35vo l%〜14. 1 vo 1%) のォ ゾンを含んだ処理ガスを、 オゾン発生器 109から処理ガス供給管 108 (処理 ガス導入部 8b) を介して反応管 102の天井 (ウェハボート 105の上部) に 到達するように所定流量、 例えば約 1リットル/ min〜 10リツトル/ mi n で処理室 103 a内に供給する。 処理室 103 a内への処理ガスの供給は、 例え ば 5分〜 30分間行う (クリーニング工程) 。
ここで、 処理ガス供給管 108に接続されたオゾン発生器 109には、 酸素ガ スの他に窒素ガスが供給されているので、 オゾン発生器 109で生成されるォゾ ンの発生効率が向上する。 また、 窒素ガスを供給することにより、 処理ガス中に NO Xが含まれるが、 処理ガス供給管 108にテフロン配管を用いているので、 NOxにより処理ガス供給管 108が腐食しにくくなる。 このため、 処理室 10 3 a内に処理ガス供給管 108の腐食による汚染物質が混入するおそれがなくな る。
処理室 103 a内は 300°C;〜 60◦ °Cに加熱されているので、 処理室 103 a内に処理ガスが供給されると、 処理ガス中のオゾンが活性化されて酸素原子ラ ジカル (0*) を生成する。 そして、 酸素原子ラジカルを含む処理ガスが反応管 102の天井に移動される。
ここで、 反応管 102は単管構造に形成され、 反応管 102の内壁とウェハ 1 06の端部との間に空隙 Dが設けられているので、 所定の排気コンダクタンスが 得られ、 オゾンが失活しにくくなる (オゾンの活性化状態を維持可能になる) 。 また、 処理室 103 a内を低圧に維持しやすくなる。 さらに、 先端部分 108a は、 処理ガスが処理領域 103 b外を通って反応管 102の上方に供給されるよ うに曲折りされている。 このため、 処理室 103 a内のコンダクタンスを向上さ せることができ、 オゾンの活性化状態を維持するとともに、 処理室 103a内を 低圧に維持しやすくなる。
反応管 102の天井に到達した処理ガスは、 真空ポンプ 116からの吸引によ つて、 処理領域 103bに供給される。 ここで、 処理室 103 a内の圧力が 13. 3Pa〜26600Pa (lTorr〜200Torr) のような低圧に維持さ れているので、 処理領域 103bに均一に処理ガスを供給することができる。 ま た、 処理ガスを一旦、 反応管 102の天井に到達させることにより、 処理領域 1 03 bに均一に処理ガスを供給することができる。 これは、 処理ガスの流速が遅 くなり、 処理ガスを処理領域 103bに供給する際に流速による影響を受けなく なるためである。
さらに、 排気口 113が処理ガス供給管 108と対向するように配置されてい るので、 処理領域 103 bに処理ガスを供給する際に、 処理ガス供給管 108か ら供給される処理ガスの影響を受けにくくなり、 処理室 103 a内の排気コンダ ケ夕ンスを向上させることができる。 このため、 オゾンの活性化状態を維持する とともに、 処理領域 103 bに均一に処理ガスを供給することができる。
処理領域 103 bに処理ガスが供給されると、 処理ガス中の酸素原子ラジカル により、 ウェハ 106に付着した有機物が分解されて、 ウェハ 106から有機物 が除去される。 なお、 除去された有機物は、 排気口 113を介して排気管 114 に吸引され、 反応管 102外に排気される。
ウェハ 106から有機物が除去されると、 処理ガス供給管 108からの処理ガ ス (窒素ガス、 酸素ガス、 オゾン) の供給を停止する。 そして、 コンビネ一ショ ンバルブ 115の開度を制御しつつ、 真空ポンプ 116を駆動させて、 処理室 1 03 a内のガスを排出した後、 パージガス供給管 117から窒素ガスを所定流量、 例えば 10リヅトル/ mi nで供給して、 処理室 103 a内のガスを排気管 11 4に排出する。 このパージガス供給管 117からの窒素ガスの供給は、 例えば 1 0分間行う (パージ工程) 。 なお、 処理室 103 a内のガスを確実に排出するた めに、 処理室 103 a内のガスの排出及び窒素ガスの供給を複数回繰り返すこと が好ましい。
最後に、 パージガス供給管 1 1 7から窒素ガスを所定流量、 例えば 2 0リヅト ル /m i nで約 5 . 5分間供給して、 処理室 1 0 3 a内を常圧 (7 6 0 T o r r ) に戻し、 ウェハ 1 0 6を搭載したウェハボート 1 0 5を処理室 1 0 3 aから アンロードする (アン口一ドエ程) 。
ここで、 有機物を付着させたウェハ 1 0 6のサンプルを、 種々の条件下でクリ —ニングを行った。 ウェハ 1◦ 6に 1 0 0◦オングストロ一ムの厚さの酸化膜を 成膜し、 この酸化膜の表面を希釈フッ化水素酸 (D H F ) で 1分間洗浄した後、 クリーンルーム内に 2 0 0時間放置して、 有機物の付着したウェハ 1 0 6のサン プルを作成した。
ここでも、 有機物の付着量は接触角法を用いて測定を行った。 また、 ここでは、 ウェハ 1 0 6上の 5点を測定し、 この平均値を求めた。 作成されたサンプルの接 触角は 3 6 ° であった。 なお、 有機物が完全に除去されたウェハ 1 0 6上に純水 を落としても、 純水の玉の接触角は 0 ° にはならず、 また低角度での厳密な測定 は困難であることから、 接触角が 2度以下のウェハ 1 0 6ではほぼ完全に有機物 が除去されているものと考えられる。
表 2
圧力 時間 -オゾン濃度添加ガス処理枚数接触角 (τ)接触角 (c)接触角 (B)
(°C) (Pa) (分) (vol¾) (枚) (deg) (des)
300 133 30 7.05 Ν2 3 1.4 1.2 1.1
¾ ^例 2 400 133 30 7.05 Ν2 3 1.4 1.3 1.3 「
例 3 广 500 133 30 7.05 Ν2 3 1.5 1.3 1.3 β例 4 600 133 30 7.05 Ν2 3 1.7 1.5 1.5 実施例 5 200. 133 30 7.05 Ν2 3 10 10.5 11.6 実施例 6 200 26600 30 7.05 Ν2 3 1.7 1.7 1.9
«例 7 300 26600 30 7.05 Ν2 3 1.4 1.4 1.3 鶴例 8 300 133 5 7.05 Ν2 3 1.5 1.4 1.9 実施例 9 300 133 30 2.35 Ν2 3 1.7 1.6 1.8 例 10 300 133 30 14.1 Ν2 3 1.2 1.2 1.0 鶴例 11 300 133 30 7.05 Ν2 100 1.5 1.2 1.3 難例 12 300 133 30 7.05 co2 3 2.0 1.3 1.3 難例 13 300 133 30 7.05 co2 100 2.0 1.3 1.5 it ^!j 1 600 133 30 0 Ν2 3 17.7 15.5 15.7 比較例 2 RT 133 30 7.05 Ν2 3 35 36.3 32.7 比較例 3 100 133 30 7.05 Ν2 3 29.1 28 28.2 比較例 4 300 133 30 0 Ν2 3 34.4 33.7 34.9 未処理 35.5〜36.2
表 2にクリーニングの条件を示す。 表 2に示すように、 処理室 103 aの温度 (実施例 1〜実施例 4、 比較例 2、 比較例 3)、 処理室 103 aの圧力 (実施例 7)、 クリーニング時間 (実施例 8)、 処理ガス中のオゾン濃度 (実施例 9、 実 施例 10)、 添加ガスの種類 (実施例 12、 実施例 13)等を変化させクリ一二 ングを行い、 温度、 圧力および時間が、 クリーニング効果に及ぼす影響を調べた。 また、 ここでは、 実験を簡便に行うために、 ウェハボート 105の上部 (T)、 中央 (C)、 下部 (B) の 3力所にウェハ 106を 1枚ずつ (合計 3枚) 収容し て試験を行った。 なお、 ウェハボート 105に搭載されるウェハ 10の枚数が多 くなつた場合のクリーニング効果は、 実施例 11及び実施例 13で確認した。 こ の結果を表 2及び図 6に示す。 図 6では各例について 3本の棒グラフで示してお り、 左側から上部、 中央、 下部でのウェハ 106に付着した有機物の付着量をそ れそれ示している。 また、 参考のため、 クリーニング処理をしない場合、 従来の 酸素ガスによるクリーニングの場合 (比較例 1)、 従来のクリーニング方法で処 理室 103 aの温度を下げた場合 (比較例 4) についても、 その結果を表 2及び 図 6に示す。
表 2及び図 6の実施例 1〜実施例 4に示すように、 処理室 103aの温度が 3 00 °C〜 600 °Cでは、 従来の酸素ガスによるクリ一二ング (比較例 1 ) に比べ、 ウェハ 106の有機物の付着量を約 1ノ10にできることが確認できた。 これら の接触角は 2°以下であり、 ウェハ 106に付着した有機物がほぼ完全に除去さ れていることが確認できた。 このため、 従来の酸素ガスによるクリーニングに比 ベ、 有機物の除去効率を向上させることができることがわかる。
また、 表 2及び図 6の実施例 5、 実施例 6に示すように、 処理室 103aの温 度が 200°Cでは、 処理室 103 aの圧力が低圧 (133Pa) の場合、 ウェハ 106の有機物の付着量を、 従来の酸素ガスによるクリーニング (比較例 1) に 比べ約 1/3に減少させることができ、 高圧 (2660 OPa) の場合、 ウェハ 106に付着した有機物をほぼ完全に除去することができる。
さらに、 表 2及び図 6の比較例 2、 比較例 3に示すように、 処理室 103 aの 温度が室温 (; RT) および 100°Cでは、 ウェハ 106に付着した有機物が除去 されない。 これは、 処理室 103 aの温度が低く、 処理ガス中のオゾンが活性化 されないために酸素原子ラジカルが生成されず、 有機物を分解できないためであ る。 このため、 処理室 103 aの温度を 200°C〜600°Cにすることが好まし いことがわかる。
なお、 処理室 103 aの温度が 200 °Cの場合、 処理室 103 aの圧力を 26 600 P aとすることによりウェハ 106に付着した有機物をほぼ完全に除去す ることができるが、 処理室 103 aの圧力が 133 P aでは、 有機物をほぼ完全 にまでは除去することはできない。 また、 処理室 103 aの温度を 600°Cより 高くしても、 ウェハ 106に付着した有機物をほぼ完全に除去することは可能で あるが、 ウェハ 106が熱酸化されてしまうおそれがある。 さらに、 従来のクリ 一二ング方法と処理室 103 aの温度が同じになってしまう。 このため、 処理室 103 aの温度を 300 °C~ 500 °Cにすることがさらに好ましい。
表 2及び図 6の実施例 1、 実施例 7に示すように、 処理室 103 aの圧力が 1 33Pa〜26600P aでは、 ウェハ 106に付着した有機物がほぼ完全に除 去されていることが確認できた。 また、 処理室 103 aの圧力が 26600 P a を越えると、 処理領域 103 bに均一に処理ガスを供給することが困難になる。 このため、 処理室 103 aの圧力は 133Pa〜2660 OP aにすることが好 ましい。
表 2及び図 6の実施例 1、 実施例 8に示すように、 クリーニング時間が 5分〜 30分では、 ウェハ 106に付着した有機物がほぼ完全に除去されていることが 確認できた。 クリーニング時間が 5分より短いと、 ウェハ 106に付着した有機 物をほぼ完全には除去できなくなるおそれがあり、 クリーニング時間が 30分よ り長いと、 ウェハ 106のクリーニングを効率的に行うことができなくなつてし まう。 このため、 クリーニング時間は 5分〜 30分にすることが好ましい。 ただ し、 ウェハ 106に付着する有機物の付着量によっては、 この時間をさらに長く したり、 短くしたりすることは可能である。
表 2及び図 6の実施例 1、 実施例 9、 実施例 10に示すように、 処理ガス中の オゾン濃度が 2. 35vol%〜14. lvo l%では、 ウェハ 106に付着し た有機物がほぼ完全に除去されていることが確認できた。 オゾン濃度が 2. 35 vo 1%より低いと、 ウェハ 106に付着した有機物をほぼ完全には除去できな くなるおそれがあり、 またオゾン濃度を 1 4 . 1 v o 1 %より高くしても有機物 の除去に影響を与えないことが考えられる。 このため、 処理ガス中のオゾン濃度 は 2 . 3 5 v o 1 %~ 1 4 . 1 V o 1 %にすることが好ましい。 ただし、 ウェハ 1 0 6に付着する有機物の付着量によっては、 この濃度をさらに長くしたり、 短 くしたりすることは可能である。
表 2及び図 6の実施例 1、 実施例 1 1に示すように、 ウェハボート 1 0 5内の ウェハ 1 0 6の枚数を 3枚から 1 0 0枚にしても、 ウェハ 1 0 6に付着した有機 物の除去に影響を与えないことが確認できた。 これは、 処理室 1 0 3 a内のコン ダク夕ンスを向上させ、 処理室 1 0 3 a内を低圧に維持しているためである。 こ のため、 ウェハボート 1 0 5内のウェハ 1 0 6の枚数が、 例えば 1 0 0枚のよう に増えても、 処理室 1 0 3 aの圧力、 クリーニング時間、 処理ガス中のオゾン濃 度は、 同様の傾向を示す。
表 2及び図 6の実施例 1、 実施例 1 1〜実施例 1 3に示すように、 添加ガス供 給管 1 1 2から供給される添加ガスの種類を窒素ガスから二酸化炭素に変更して も、 ウェハ 1 0 6に付着した有機物の除去に影響を与えないことが確認できた。 さらに、 オゾン発生器 1 0 9で生成される処理ガス中に N O Xが含まれなくなり、 処理室 1 0 3 a内に処理ガスを供給する処理ガス供給管 1 0 8が腐食しにくくな ο
なお、 接触角法は、 一般に、 純水を滴下する平面 (ウェハ 1 0 6 ) の表面状態 に影響を受けやすく、 クリーニングによってウェハ 1 0 6の表面形状が変化する と、 有機物の付着量が正確に測定することができなくなってしまうと考えられる このため、 クリーニング前後でのウェハ 1 0 6の表面形状を確認した。 この結果、 ウェハ 1 0 6の表面形状はクリーニング前後でほとんど変化していないことが確 口' &、し 1乙。
以上説明したように、 本実施形態によれば、 処理室 1 0 3 aをオゾンが活性可 能な温度 (2 0 0 °C以上) に加熱し、 この処理室 1 0 3 a内にオゾンを含む処理 ガスを供給しているので、 従来の酸素ガスによるクリーニングに比べ、 ウェハ 1 0 6に付着した有機物の除去効率を向上させることができる。 特に、 処理室 1 0 3 aを 3 0 0 °C〜 5 0 0 °Cに加熱し、 この処理室 1 0 3 a内にオゾンを含む処理 ガスを供給すると、 従来の酸素ガスによるクリーニングに比べ、 低温下で、 ゥェ ハ 1 0 6に付着した有機物の除去効率を向上させることができる。
本実施形態によれば、 反応管 1 0 2は単管構造に形成され、 反応管 1 0 2の内 壁とウェハ 1 0 6の端部との間に空隙 Dが設けられているので、 オゾンの活性化 状態を維持しやすくなる。 また、 処理室 1 0 3 a内を低圧に維持しやすくなり、 処理領域 1 0 3 bに均一に処理ガスを供給することができる。 このため、 一のク リーニング処理により、 複数枚のウェハ 1 0 6に付着した有機物を同時に除去す ることができる。
本実施形態によれば、 処理ガス供給管 1 0 8の先端部分 1 0 8 aは、 処理ガス が処理領域 1 0 3 b外を通って反応管 1 0 2の上方に供給されるように曲折りさ れているので、 処理室 1 0 3 a内のコンダクタンスを向上させることができ、 ォ ゾンの活性化状態を維持しやすくなる。 また、 処理室 1 0 3 a内を低圧に維持し やすくなり、 処理領域 1 0 3 bに均一に処理ガスを供給することができる。 この ため、 一のクリーニング処理により、 複数枚のウェハ 1 0 6に付着した有機物を 同時に除去することができる。
本実施形態によれば、 処理ガスを一旦、 反応管 1 0 2の天井に到達させ、 真空 ポンプ 1 1 6からの吸引によって、 処理領域 1 0 3 bに供給するので、 処理領域 1 0 3 bに均一に処理ガスを供給することができる。
本実施形態によれば、 オゾン発生器 1 0 9には、 酸素ガスの他に窒素ガスが供 給されているので、 オゾン発生器 1 0 9で生成されるオゾンの発生効率が向上す ο
[第 3の実施形態]
次に、 本発明の第 3の実施形態を、 図 7に示すバッチ式縦型熱処理装置を用い て、 ウェハ上に付着した有機物をオゾンを含む処理ガスにより分解、 除去 (クリ —ニング) した後、 さらに、 クリーニングされたウェハに水蒸気を供給してシリ コン酸化膜の薄膜を形成する場合を例にとつて説明する。
図 7に示すように、 熱処理装置 1 2 1は、 クリーニングされたウェハに水蒸気 からなる成膜ガスを供給する成膜ガス供給管 1 2 2が、 さらに設けられている点 を除いて、 第 2の実施の形態の熱処理装置 1 0 1と同じ構造である。
成膜ガス供給管 1 2 2は非処理領域 1 0 3 cに配置され、 マ二ホールド 1 0 3 の側面に揷通されている。 成膜ガス供給管 1 2 2は図示しない燃焼装置に接続さ れている。燃焼装置は酸素ガスと水素ガスとを燃焼させることにより水蒸気を発 生させ、 この水蒸気を成膜ガス供給管 1 2 2に供給する。 また、 成膜ガス供給管 1 2 2は、 その先端部分 1 2 2 aが処理領域 1 0 3 bの方向 (上方) を向くよう に曲折りされた屈曲形状に形成されている。 このため、 成膜ガス供給管 1 2 2か ら供給された成膜ガスは、 反応管 1 0 2の上方に向けて噴出する。 また、 成膜ガ ス供給管 1 2 2の先端部分 1 2 2 aは、 処理ガス供給管 1 0 8の先端部分 1 0 8 aと同様に、 上方に向けて噴出される成膜ガスが、 処理領域 1 0 3 b外 (例えば 図 7に示す、 空隙 Dにより形成される空間) を通って、 処理領域 1 0 3 bの上方 (反応管 1 0 2の上部) に供給されるような位置に配設されている。
次に、 熱処理装置 1 2 1を用い、 ウェハ 1 0 6上に付着した有機物をオゾンを 含む処理ガスによりクリーニングし、 さらにクリーニングされたウェハ 1 0 6に シリコン酸化膜 (薄膜) を形成する方法について、 図 8に示すレシピ (タイムシ ーケンス) を参照して説明する。 なお、 本実施形態における口一ドエ程、 安定化 工程およびクリーニング工程は、 第 2の実施形態における口一ドエ程、 安定化工 程およびクリ一二ング工程と同様であるため、 ここでは第 1パージ工程から説明 する。 また、 熱処理装置 1 2 1を構成する各部の動作は、 制御部 1 2 0によりコ ントロールされている。
図 8に示すように、 ロード工程、 安定化工程およびクリーニング工程により、 ウェハ 1 0 6から有機物を除去すると、 処理ガス供給管 1 0 8からの処理ガスの 供給を停止する。 そして、 コンビネーションバルブ 1 1 5の開度を制御しつつ、 真空ポンプ 1 1 6を駆動させて、 処理室 1 0 3 a内のガスを排出した後、 パージ ガス供給管 1 1 7から窒素ガスを所定流量、 例えば 1 0リットル/ m i nで供給 して、 処理室 1 0 3 a内のガスを排気管 1 1 4に排出する。 処理室 1 0 3 a内の ガスの排出は、 処理室 1 0 3 a内の圧力が所定圧力、 例えば 5 3 2 0 O P a ( 4 O O T o r r ) になるまで行う。 また、 昇温用ヒ一夕 1 0 7により処理室 1 0 3 a内を所定温度、 例えば 7 5 0 °Cに加熱する。 そして、 この減圧及び加熱操作を、 処理室 1 0 3 a内が所定の圧力及び温度で安定するように、 所定時間行う (第 1 パージ工程) 。
処理室 1 0 3 a内が所定の圧力及び温度で安定すると、 パージガス供給管 1 1 7からの窒素ガスの供給を停止する。 そして、 成膜ガス供給管 1 2 2から水蒸気 を反応管 1 0 2の天井 (ウェハボート 1 0 5の上方) に到達するように所定流量 で供給する。 反応管 1 0 2の天井に到達した水蒸気は、 真空ポンプ 1 1 6からの 吸引によって、 処理領域 1 0 3 bに供給される。 そして、 処理領域 1 0 3 bに水 蒸気が供給されると、 ウェハ 1 0 6ではウエット酸化が行われ、 ウェハ 1 0 6に シリコン酸化膜の薄膜が形成される (薄膜形成工程) 。
ここで、 水蒸気を一旦、 反応管 1 0 2の天井に到達させているので、 処理領域 1 0 3 bに均一に水蒸気を供給することができる。 このため、 ウェハ 1 0 6に均 一なシリコン酸化膜を形成することができる。
また、 ウェハ 1 0 6に付着した有機物の除去 (クリーニング工程) と、 有機物 の除去されたウェハ 1 0 6へのシリコン酸化膜の形成 (薄膜形成工程) とを同じ 一つの熱処理装置 1 2 1により行っているので、 ウェハ 1 0 6にシリコン酸化膜 を容易に形成することができる。 また、 クリーニング工程から薄膜形成工程に移 行する際に、 クリーニングされたウェハ 1 0 6を搬送する必要がないので、 クリ 一二ング工程から薄膜形成工程までの間に、 ウェハ 1 0 6に有機物が付着するお それがなくなる。
ウェハ 1 0 6にシリコン酸化膜が形成されると、 成膜ガス供給管 1 2 2からの 水蒸気の供給を停止する。 そして、 コンビネーションバルブ 1 1 5の開度を制御 しつつ、 真空ポンプ 1 1 6を駆動させて、 処理室 1 0 3 a内のガスを排出した後、 パージガス供給管 1 1 7から窒素ガスを所定流量、 例えば 1 0リットル/ m i n 供給して、 処理室 1 0 3 a内のガスを排気管 1 1 4に排出する。 このパージガス 供給管 1 1 7からの窒素ガスの供給は、 例えば 1 0分間行う (第 2パージ工程) c なお、 処理室 1 0 3 a内のガスを確実に排出するために、 処理室 1 0 3 a内のガ スの排出及び窒素ガスの供給を複数回繰り返すことが好ましい。
最後に、 パージガス供給管 1 1 7から窒素ガスを所定流量、 例えば 2 0リット ル /m i nで約 5 . 5分間供給して、 処理室 1 0 3 a内を常圧 (7 6 O T o r r ) に戻し、 ウェハボート 1 0 5 (ウェハ 1 0 6 ) を処理室 1 0 3 aからアン口
—ドする (アンロード工程) 。
以下に第 2および第 3の実施形態の変形態様について説明する。
第 2の実施の形態では、 バッチ式縦型熱処理装置 1 0 1を用いてウェハ 1 0 6 に付着した有機物を除去したが、 枚葉式の熱処理装置を用いてもよい。 この場合 にも、 ウェハ 1 0 6に付着した有機物の除去を低温下で効率良く行うことができ o
第 3の実施の形態では、 ウェハ 1 0 6に付着した有機物の除去と、 ウェハ 1 0 6へのシリコン酸化膜の形成とを同じ一つの熱処理装置 1 2 1により行ったが、 それそれの工程を別々の装置で行ってもよい。
第 3の実施の形態では、 有機物を除去したウェハ 1 0 6に水蒸気を供給してシ リコン酸化膜を形成したが、 有機物を除去したウェハ 1 0 6にオゾンを供給して シリコン酸化膜を形成してもよい。 この場合、 成膜ガス供給管 1 2 2が不要にな り、 熱処理装置 1 2 1の構造を簡単にすることができる。 また、 ウェハ 1 0 6に 形成する薄膜はシリコン酸化膜に限定されるものではなく、 他の薄膜、 例えばシ リコン窒化膜であってもよい。
第 2および第 3の実施の形態では、 反応管 1 0 2が単管構造に形成され、 反応 管 1 0 2の内壁とウェハ 1 0 6の端部との間に空隙 Dが設けられていたが、 反応 管 1 0 2はオゾンの活性化状態を維持可能なコンダクタンスを有していればよく、 例えば内管と外管とからなる二重管構造に形成されていてもよい。
第 2および第 3実施の形態では、 処理ガス供給管 1 0 8 (成膜ガス供給管 1 2 2 ) を非処理領域 1 0 3 cに配置して、 処理ガス (成膜ガス) を反応管 1 0 2の 天井に到達するように供給し、 処理室 1 0 3 a内のガスを排気することにより、 処理ガス (成膜ガス) を処理領域 1 0 3 bに供給したが、 反応管 1 0 2の天井に 処理ガス供給管 1 0 8 (成膜ガス供給管 1 2 2 ) を配置して、 処理ガス (成膜ガ ス) を処理領域 1 0 3 bに供給してもよい。
第 2および第 3の実施形態では、 処理ガス供給管 1 0 8の処理ガス導入部 8 b
(成膜ガス供給管 1 2 2の先端部分 1 2 2 a ) が非処理領域 1 0 3 c内にあるが、 この部分の長さは任意であり図 4および図 7に示す長さより長くても短くてもよ い。 また、 処理ガス導入部 8 b (先端部分 1 2 2 a ) は多孔式 (分散式) のノズ ルであってもよい。
ローデイング温度とクリ一ニング温度とをほぼ等しくすることが好ましい。 例 えば、 第 2の実施の形態におけるクリーニング温度は 3 0 0 °Cにすることが好ま しい。 この場合、 クリーニング工程のための温度操作が不要になる。
第 2の実施の形態において、 クリーニング工程をローディング温度から成膜温 度への昇温中に行ってもよい。 この場合、 クリーニング工程と成膜温度への昇温 とを同時に行うことができ、 ウェハ 1 0 6の薄膜形成時間を短くすることができ る。
オゾン発生器 1 0 9は、 酸素ガスと、 窒素ガスまたは二酸化炭素ガスとが供給 されているものに限定されるものではない。 例えば、 ピュリファイャ 1 1 0に酸 素ガス供給管 1 1 1のみを接続して、 オゾン発生器 1 0 9に酸素ガスのみを供給 してもよい。 この場合にも、 オゾン発生器 1 0 9によりオゾンを発生させること ができる。
処理ガス供給管 1 0 8及び成膜ガス供給管 1 2 2の数は一つに限らず、 複数で あってもよい。 また、 被処理体はウェハ 1 0 6に限らず、 例えばガラス基板であ つてもよい。

Claims

請 求 の 範 囲
1. 被処理体の処理方法において、
有機物が付着した被処理体を反応室に収容する工程と、
前記反応室を所定の温度に加熱するとともに処理ガスを供給して、 前記有機物 を前記被処理体から除去する工程と、 を備え、
前記処理ガスは酸化性ガスと還元性ガスとを含み、 前記反応室の温度を前記酸 化性ガス及び前記還元性ガスが活性化可能な温度に加熱する、 ことを特徴とする 被処理体の処理方法。
2. 前記酸化性ガスは 02、 N20、 NOよりなる群から選択される少なくと も 1つのガスを含み、 前記還元性ガスは H2、 NH3、 CH4よりなる群から選択 される少なくとも 1つのガスを含む、 ことを特徴とする請求項 1に記載の方法。
3. 前記反応室の温度を少なくとも 350°Cに加熱する、 ことを特徴とする 請求項 1または 2に記載の方法。
4. 前記反応室内の圧力を 133Pa〜399 Paに設定する、 ことを特徴 とする請求項 1乃至 3のいずれか 1項に記載の方法。
5. 前記被処理体を収容する工程において、 前記反応室には有機物が付着し た被処理体が複数収容される、 ことを特徴とする請求項 1乃至 4のいずれか 1項 に記載の方法。
6. 所定の温度に設定可能な加熱部を有し、 被処理体を収容する反応室と、 前記反応室内に酸化性ガスと還元性ガスとを含む処理ガスを供給する処理ガス 供給手段と、
前記反応室内のガスを排気する排気手段と、
前記加熱部により前記反応室を前記酸化性ガス及び前記還元性ガスが活性化可 能な温度に加熱させる制御手段と、
を備える、 ことを特徴とする被処理体の処理装置。
7. 前記酸化性ガスは 02、 N20、 NOよりなる群から選択される少なくと も 1つのガスを含み、 前記還元性ガスは H2、 NH3、 CH4よりなる群から選択 される少なくとも 1つのガスを含む、 ことを特徴とする請求項 6に記載の装置。
8 . 前記制御手段は前記加熱部に前記反応室の温度を少なくとも 3 5 0 °Cに 加熱させる、 ことを特徴とする請求項 6または Ίに記載の装置。
9 . 前記制御手段は前記排気手段に前記反応室内のガスを排気させ、 前記反 応室内の圧力を 1 3 3 P a〜3 9 9 P aに維持する、 ことを特徴とする請求項 6 乃至 8のいずれか 1項に記載の装置。
1 0 . 前記反応室は前記被処理体を複数収容可能な被処理体収容部を備え、 前記制御手段は前記処理ガスを前記被処理体収容部に供給させ、 前記複数の被 処理体に付着した有機物を除去する、 ことを特徴とする請求項 6乃至 9のいずれ か 1項に記載の装置。
1 1 . 有機物が付着した被処理体を反応室に収容する工程と、
前記反応室を所定の温度に加熱するとともに処理ガスを供給して、 前記有機物 を前記被処理体から除去する工程と、 を備え、
前記処理ガスはォゾンを含み、 前記反応室の温度を前記ォゾンが活性化可能な 温度に加熱する、 ことを特徴とする被処理体の処理方法。
1 2 . 前記被処理体を収容する工程において、 前記反応室には有機物が付着 した被処理体が複数収容される、 ことを特徴とする請求項 1 1に記載の方法。
1 3 . 前記反応室の温度を 3 0 0 °C〜5 0 0 °Cに加熱する、 ことを特徴とす る請求項 1 1または 1 2に記載の方法。
1 4 . 前記反応室内の圧力を 1 3 . 3 P a〜2 6 6 0 O P aに設定する、 こ とを特徴とする請求項 1 1乃至 1 3のいずれか 1項に記載の方法。
1 5 . 前記有機物はトリプチルホスフアート、 シロキサン、 フ夕ル酸ジォク チルの少なくとも一つである、 ことを特徴とする請求項 1 1乃至 1 4のいずれか 1項に記載の方法。 '
1 6 . 前記反応室の前記被処理体を処理する処理領域の一方側の非処理領域 から、 前記処理領域の他方側に到達するように処理ガスを供給するとともに、 前 記処理領域の一方側の非処理領域から前記反応室内のガスを排気することにより、 前記処理領域の他方側に到達した処理ガスを前記処理領域に供給する、 ことを特 徴とする請求項 1 1乃至 1 5のいずれか 1項に記載の方法。
1 7 . 所定の温度に設定可能な加熱部を有し、 有機物が付着した被処理体を 収容する反応室と、
前記反応室内にオゾンを含む処理ガスを供給する処理ガス供給手段と、 前記反応室内のガスを排気する排気手段と、
前記加熱部により前記反応室を前記ォゾンが活性化可能な温度に加熱させる制 御手段と、
を備える、 ことを特徴とする被処理体の処理装置。
1 8 . 前記反応室は前記被処理体を複数収容可能な被処理体収容部を備え、 前記制御手段は前記処理ガスを前記被処理体収容部に供給させ、 前記複数の被処 理体に付着した有機物を除去する、 ことを特徴とする請求項 1 7に記載の装置。
1 9 . 前記反応室は前記オゾンの活性化状態を維持可能なコンダクタンスを 有する、 ことを特徴とする請求項 1 7または 1 8に記載の装置。
2 0 . 前記反応室は単管構造である、 ことを特徴とする請求項 1 9に記載の
2 1 . 前記制御手段は前記加熱部に前記反応室の温度を 3 0 0 °C〜 5 0 0 °C に加熱させる、 ことを特徴とする請求項 1 7乃至 2 0のいずれか 1項に記載の装 置。
2 2 . 前記制御手段は前記排気手段に前記反応室内のガスを排気させ、 前記 反応室の圧力を 1 3 . 3 P a〜2 6 6 0 O P aに維持する、 ことを特徴とする請 求項 1 7乃至 2 1のいずれか 1項に記載の装置。
2 3 . 前記処理ガス供給手段はプラズマ発生器から構成されたオゾン発生部 を有し、 前記オゾン発生部には酸素ガスと、 窒素ガスまたは二酸化炭素とを供給 するオゾン生成ガス供給管が接続されている、 ことを特徴とする請求項 1 7乃至 2 2のいずれか 1項に記載の装置。
2 4 . 前記反応室は被処理体を処理する処理領域を有するとともに、 少なく とも前記処理領域の一方側に非処理領域を有し、
前記処理ガス供給手段及び前記排気手段は前記処理領域の一方側の非処理領域 に配置され、
前記制御手段は、 前記処理ガス供給手段に該非処理領域から前記処理領域の他 方側に到達するように処理ガスを供給させるとともに、 前記排気手段に前記反応 室内のガスを排気させることにより、 前記処理領域の他方側に到達したォゾンを 前記処理領域に供給する、 ことを特徴とする請求項 1 7乃至 2 3のいずれか 1項 に記載の装置。
2 5 . 前記処理ガス供給手段は前記反応室内に処理ガスを供給する処理ガス 供給管を備え、 前記処理ガス供給管の先端部分は、 前記一方側の非処理領域から 非処理領域を通って前記他方側の非処理領域に供給されるように、 当該他方側の 非処理領域方向に曲折りされている、 ことを特徴とする請求項 2 4に記載の装置 c
2 6 . 有機物が除去された前記被処理体に成膜ガスを供給して、 前記被処理 体に薄膜を形成する薄膜形成工程を更に備えた、 ことを特徴とする請求項 1 1乃 至 1 6のいずれか 1項に記載の方法。
2 7 . 前記被処理体を収容する工程、 前記有機物を除去する工程および前記 薄膜形成工程は、 一つの同じ装置により行われる、 ことを特徴とする請求項 2 6 に記載の方法。
2 8 . 前記被処理体を収容する工程における被処理体を反応室に収容する口 一ディング温度と、 前記有機物を除去する工程における前記反応室の温度とをほ ぼ等しくする、 ことを特徴とする請求項 2 6または 2 7に記載の方法。
2 9 . 前記反応室内に成膜ガスを供給する成膜ガス供給手段と、
前記加熱部により前記反応室内を所定の温度に加熱させるとともに、 有機物が 除去された被処理体に前記成膜ガス供給手段により前記成膜ガスを供給して、 前 記被処理体に薄膜を形成させる成膜制御手段と、
を更に備えた、 ことを特徴とする請求項 1 7乃至 2 5のいずれか 1項に記載の処
PCT/JP2001/010594 2000-12-05 2001-12-04 Procede et appareil de traitement d'un article a traiter WO2002047142A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP01999967A EP1351283A4 (en) 2000-12-05 2001-12-04 METHOD AND DEVICE FOR TREATING AN ARTICLE TO BE TREATED
KR1020037007373A KR100886997B1 (ko) 2000-12-05 2001-12-04 피처리체의 처리방법 및 처리장치
US10/433,423 US7208428B2 (en) 2000-12-05 2001-12-04 Method and apparatus for treating article to be treated

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000370023A JP4626912B2 (ja) 2000-12-05 2000-12-05 被処理体の処理方法、処理装置、薄膜形成方法及び薄膜形成装置
JP2000-370023 2000-12-05
JP2001-26233 2001-02-02
JP2001026233A JP4607347B2 (ja) 2001-02-02 2001-02-02 被処理体の処理方法及び処理装置

Publications (1)

Publication Number Publication Date
WO2002047142A1 true WO2002047142A1 (fr) 2002-06-13

Family

ID=26605263

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/010594 WO2002047142A1 (fr) 2000-12-05 2001-12-04 Procede et appareil de traitement d'un article a traiter

Country Status (6)

Country Link
US (1) US7208428B2 (ja)
EP (1) EP1351283A4 (ja)
KR (1) KR100886997B1 (ja)
CN (1) CN100372076C (ja)
TW (1) TW541595B (ja)
WO (1) WO2002047142A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100533676C (zh) * 2003-06-02 2009-08-26 安格斯公司 使用氧气和/或水气体混合物去除气载分子污染物的方法

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US7556839B2 (en) * 2004-03-29 2009-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
JP4765328B2 (ja) * 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
KR20080034492A (ko) * 2005-08-03 2008-04-21 엔테그리스, 아이엔씨. 이송 용기
JP4933789B2 (ja) * 2006-02-13 2012-05-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20070209683A1 (en) * 2006-03-13 2007-09-13 Macronix International Co., Ltd. Method for cleaning reactor and method for manufacturing a chip thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP4386132B2 (ja) * 2007-02-14 2009-12-16 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
EA200901290A1 (ru) * 2007-03-28 2010-04-30 Бургхардт Креббер Аппарат для обработки зубов
JP4470970B2 (ja) 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
KR101521998B1 (ko) * 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) * 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US20130153201A1 (en) * 2010-12-30 2013-06-20 Poole Ventura, Inc. Thermal diffusion chamber with cooling tubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140034632A1 (en) * 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4872971A (ja) * 1971-12-29 1973-10-02
JPS5143079A (ja) * 1974-10-11 1976-04-13 Hitachi Ltd Taishokuseijushimakujokyoho
JPS6057937A (ja) * 1983-09-09 1985-04-03 Ushio Inc 紫外線洗浄方法
JPS61284925A (ja) * 1985-06-10 1986-12-15 Sony Corp 半導体装置の製造方法
JPH04290219A (ja) * 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
JPH09167759A (ja) * 1995-12-15 1997-06-24 Semiconductor Energy Lab Co Ltd 半導体装置製造方法
JPH09283509A (ja) * 1996-04-11 1997-10-31 Sony Corp ウエハ処理装置および処理方法
JPH10189487A (ja) * 1996-12-20 1998-07-21 Sony Corp 薄膜堆積方法
JPH1144443A (ja) * 1997-07-24 1999-02-16 Oki Electric Ind Co Ltd クリーンルーム、半導体素子製造方法、半導体素子製造用処理室、半導体素子製造装置および半導体素子用部材の洗浄方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0760794B2 (ja) * 1985-05-27 1995-06-28 富士通株式会社 シリコンのエピタキシヤル成長方法
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPH088255B2 (ja) * 1990-02-20 1996-01-29 株式会社東芝 半導体基板表面処理方法および半導体基板表面処理装置
JPH0439931A (ja) * 1990-06-06 1992-02-10 Oki Electric Ind Co Ltd 半導体装置の酸化膜形成方法
JPH07118522B2 (ja) * 1990-10-24 1995-12-18 インターナショナル・ビジネス・マシーンズ・コーポレイション 基板表面を酸化処理するための方法及び半導体の構造
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
JPH05109686A (ja) * 1991-10-14 1993-04-30 Nippon Steel Corp シリコンウエーハの洗浄方法およびその装置
US5633424A (en) * 1994-12-29 1997-05-27 Graves; Clinton G. Device and methods for plasma sterilization
US5567271A (en) * 1995-07-26 1996-10-22 Chartered Semiconductor Manufacturing Pte Ltd Oxygen reactive ion etch (RIE) plasma method for removing oxidized organic residues from semiconductor substrates
JP3504784B2 (ja) * 1995-09-07 2004-03-08 東京エレクトロン株式会社 熱処理方法
KR100533814B1 (ko) * 1997-03-28 2006-03-31 도쿄 엘렉트론 가부시키가이샤 기판처리방법및기판처리장치
US6407367B1 (en) * 1997-12-26 2002-06-18 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
JP3426494B2 (ja) * 1998-04-02 2003-07-14 沖電気工業株式会社 半導体装置の製造方法
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
JP3478141B2 (ja) * 1998-09-14 2003-12-15 信越半導体株式会社 シリコンウエーハの熱処理方法及びシリコンウエーハ
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
DE19924058A1 (de) * 1999-05-26 2000-11-30 Bosch Gmbh Robert Verfahren und Vorrichtung zur Beseitigung von Kontaminationen durch Ozonbehandlung

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4872971A (ja) * 1971-12-29 1973-10-02
JPS5143079A (ja) * 1974-10-11 1976-04-13 Hitachi Ltd Taishokuseijushimakujokyoho
JPS6057937A (ja) * 1983-09-09 1985-04-03 Ushio Inc 紫外線洗浄方法
JPS61284925A (ja) * 1985-06-10 1986-12-15 Sony Corp 半導体装置の製造方法
JPH04290219A (ja) * 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
JPH09167759A (ja) * 1995-12-15 1997-06-24 Semiconductor Energy Lab Co Ltd 半導体装置製造方法
JPH09283509A (ja) * 1996-04-11 1997-10-31 Sony Corp ウエハ処理装置および処理方法
JPH10189487A (ja) * 1996-12-20 1998-07-21 Sony Corp 薄膜堆積方法
JPH1144443A (ja) * 1997-07-24 1999-02-16 Oki Electric Ind Co Ltd クリーンルーム、半導体素子製造方法、半導体素子製造用処理室、半導体素子製造装置および半導体素子用部材の洗浄方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1351283A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100533676C (zh) * 2003-06-02 2009-08-26 安格斯公司 使用氧气和/或水气体混合物去除气载分子污染物的方法
US8075704B2 (en) 2003-06-02 2011-12-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen and/or water gas mixtures

Also Published As

Publication number Publication date
CN1502120A (zh) 2004-06-02
KR100886997B1 (ko) 2009-03-04
US20040219793A1 (en) 2004-11-04
TW541595B (en) 2003-07-11
EP1351283A4 (en) 2006-01-25
EP1351283A1 (en) 2003-10-08
US7208428B2 (en) 2007-04-24
KR20030062366A (ko) 2003-07-23
CN100372076C (zh) 2008-02-27

Similar Documents

Publication Publication Date Title
WO2002047142A1 (fr) Procede et appareil de traitement d'un article a traiter
US8357619B2 (en) Film formation method for forming silicon-containing insulating film
US5620559A (en) Hydrogen radical processing
KR101422651B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5008957B2 (ja) シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US8080477B2 (en) Film formation apparatus and method for using same
JP2011068984A (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
WO2004086482A1 (ja) 薄膜形成装置の洗浄方法
JP4836761B2 (ja) 半導体デバイスの製造方法
US20090114156A1 (en) Film formation apparatus for semiconductor process
JP2010206050A (ja) 半導体装置の製造方法及び基板処理装置
TWI768619B (zh) 反應管的洗淨方法,半導體裝置的製造方法及基板處理裝置
WO2004027849A1 (ja) 半導体装置の製造方法および基板処理装置
KR20080100784A (ko) 반도체 처리용 성막 장치 및 그 사용 방법과, 컴퓨터로판독 가능한 매체
JP2008283148A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2009263764A (ja) 半導体製造装置及び半導体装置の製造方法
WO2002075802A1 (fr) Procede de formation d'un film d'oxyde
WO2002073675A1 (fr) Procede de nettoyage pour dispositif de traitement de substrat et dispositif de traitement de substrat
JP4607347B2 (ja) 被処理体の処理方法及び処理装置
JP4242733B2 (ja) 半導体装置の製造方法
TWI306275B (ja)
KR20010081981A (ko) 산화처리장치 및 그 클리닝방법
JP4626912B2 (ja) 被処理体の処理方法、処理装置、薄膜形成方法及び薄膜形成装置
JP4538259B2 (ja) 層間絶縁膜の表面改質方法及び表面改質装置
JP4361179B2 (ja) オゾン処理装置及びオゾン処理方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037007373

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2001999967

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037007373

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 01822329X

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2001999967

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10433423

Country of ref document: US