KR20220030249A - 선택적 탄소 증착 - Google Patents

선택적 탄소 증착 Download PDF

Info

Publication number
KR20220030249A
KR20220030249A KR1020227001971A KR20227001971A KR20220030249A KR 20220030249 A KR20220030249 A KR 20220030249A KR 1020227001971 A KR1020227001971 A KR 1020227001971A KR 20227001971 A KR20227001971 A KR 20227001971A KR 20220030249 A KR20220030249 A KR 20220030249A
Authority
KR
South Korea
Prior art keywords
carbon
substrate
processing chamber
plasma
layer
Prior art date
Application number
KR1020227001971A
Other languages
English (en)
Inventor
아니쉬 굽타
아드리엔 라부아
바트 제이. 반 쉬라벤다이크
사만사 시암화 탄
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220030249A publication Critical patent/KR20220030249A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

프로세싱 챔버 내의 기판 상에 탄소를 증착하기 위한 방법은 프로세싱 챔버 내의 기판 지지부 상에 기판을 배치하는 단계를 포함한다. 기판은 기판의 적어도 하나의 하부 층 상에 형성된 제 1 두께를 갖는 탄소 막을 포함한다. 방법은 기판 상에 피처들을 형성하기 위해 기판을 에칭하고, 탄소 막의 부분들을 제거하고, 탄소 막의 제 1 두께를 감소시키기 위해 제 1 에칭 단계를 수행하고, 탄소 막의 나머지 부분들 상에 탄소를 선택적으로 증착하는 단계, 및 기판 상의 피처들의 형성을 완료하기 위해 기판을 에칭하기 위한 적어도 하나의 제 2 에칭 단계를 포함한다.

Description

선택적 탄소 증착
본 개시는 원자 층 증착 기판 프로세싱 챔버에서 선택적 탄소 증착에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 목적이다. 본 배경 기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 선행 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 처리들의 예들은 에칭, 증착, 포토레지스트 제거, 등을 포함한다. 프로세싱 동안, 기판은 정전 척과 같은 기판 지지부 상에 배치되고 하나 이상의 프로세스 가스들은 프로세싱 챔버 내로 도입될 수도 있다.
하나 이상의 프로세싱 가스들은 가스 전달 시스템에 의해 프로세싱 챔버로 전달될 수도 있다. 일부 시스템들에서, 가스 전달 시스템은 프로세싱 챔버 내에 위치된 샤워헤드에 하나 이상의 도관들에 의해 연결된 매니폴드를 포함한다. 일부 예들에서, 프로세스들은 기판 상에 박막을 증착하도록 원자 층 증착 (atomic layer deposition; ALD) 을 사용한다. 다양한 교번하는 에칭 사이클 및 증착 사이클이 동일한 기판 상에 수행될 수도 있다.
다른 특징들에서, 적어도 하나의 하부 층은 실리콘, 실리콘 다이옥사이드, 및 실리콘 나이트라이드 중 적어도 하나를 포함한다. 기판은 적어도 하나의 하부 층 상에 형성된 교번하는 옥사이드-나이트라이드 (ONON) 층들을 포함하고 그리고 탄소 막은 ONON 층들 상에 형성된다. 피처들을 형성하는 단계는 ONON 층들에 ONON 필라들을 형성하는 단계를 포함한다. 탄소 막은 비정질 하드 마스크 (amorphous hard mask; AHM) 막이다. 제 1 두께는 1 ㎛ 이하이다.
다른 특징들에서, 탄소를 선택적으로 증착하는 단계는 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 사용하여 탄소를 증착하는 단계를 포함한다. ALD 프로세스를 수행하는 단계는 제 1 기간 동안의 도징 단계에서 프로세싱 챔버 내로 적어도 하나의 탄소-함유 전구체 가스를 공급하는 것, 제 2 기간의 퍼징 단계에서 프로세싱 챔버를 퍼지하는 것, 그리고 제 3 기간의 플라즈마 단계에서 프로세싱 챔버에서 플라즈마를 생성하는 것을 포함한다. ALD 프로세스를 수행하는 단계는 도징 단계, 퍼징 단계, 및 플라즈마 단계를 반복적으로 교번하는 단계를 포함한다. 플라즈마를 생성하는 단계는 적어도 하나의 탄소-함유 전구체 가스를 공급하지 않고 프로세싱 챔버 내로 플라즈마 프로세스 가스를 공급하는 동안 플라즈마를 생성하는 것을 포함한다.
다른 특징들에서, 기판 상에 탄소 시드 층을 증착하고 탄소 시드 층 상에 탄소 막을 증착한다. 탄소 시드 층은 탄소 플루오라이드 (CFx) 을 포함하고, 여기서 x는 정수이다. 탄소 시드 층을 증착하는 단계는 CVD 또는 PECVD 프로세스를 사용하여 탄소 시드 층을 증착하는 것을 포함한다. 탄소 시드 층을 증착하는 단계는 프로세싱 챔버 내로 탄소-함유 전구체 가스를 공급하는 단계를 포함한다. 탄소-함유 전구체 가스는 카본 테트라브로마이드 (CBr4), 트리브로모메탄 (CHBr3), 및 다이브로모메탄 (CH2Br2) 중 적어도 하나를 포함한다.
프로세싱 챔버 내의 기판 상에 탄소를 증착하도록 구성된 시스템은 프로세싱 챔버 내로 프로세스 가스들을 공급하도록 구성된 가스 전달 시스템, 프로세싱 챔버 내에 플라즈마를 생성하도록 구성된 무선 주파수 (radio frequency; RF) 플라즈마 생성 시스템, 및 제어기를 포함한다. 기판은 기판의 적어도 하나의 하부 층 상에 형성된 제 1 두께를 갖는 탄소 막을 포함한다. 제어기는, 기판이 프로세싱 챔버 내의 기판 지지부 상에 배치되고, 기판 상에 피처들을 형성하도록 기판을 에칭하기 위한 제 1 에칭 단계를 수행하도록 RF 플라즈마 생성 시스템을 제어하고, 탄소 막의 부분들을 제거하고, 그리고 탄소 막의 제 1 두께를 감소시키고, 탄소 막의 나머지 부분들 상에 탄소를 선택적으로 증착하도록 가스 전달 시스템을 제어하고, 기판 상의 피처들을 형성하는 단계를 완료하기 위해 기판을 에칭하기 위한 적어도 하나의 제 2 에칭 단계를 수행하도록 RF 플라즈마 생성 시스템을 제어하도록 구성된다.
다른 특징들에서, 제어기는 탄소를 증착하기 위해 원자 층 증착 (atom layer deposition; ALD) 프로세스를 수행하도록 가스 전달 시스템 및 RF 플라즈마 생성 시스템을 제어하도록 구성된다. ALD 프로세스를 수행하기 위해, 제어기는 제 1 기간 동안의 도징 단계에서 프로세싱 챔버 내로 적어도 하나의 탄소-함유 전구체 가스를 공급하고, 제 2 기간의 퍼징 단계에서 프로세싱 챔버를 퍼지하도록 가스 전달 시스템을 제어하도록 구성되고, 그리고 제 3 기간의 플라즈마 단계에서 상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 RF 플라즈마 생성 시스템을 제어하도록 구성된다.
다른 특징들에서, 제어기는 기판 상에 탄소 시드 층을 증착하고 탄소 시드 층 상에 탄소 막을 증착하도록 가스 전달 시스템 및 RF 플라즈마 생성 시스템을 제어하도록 구성된다. 탄소 시드 층을 증착하는 단계는 CVD 또는 PECVD 프로세스를 사용하여 탄소 시드 층을 증착하도록 탄소-함유 전구체 가스를 프로세싱 챔버 내로 공급하는 것을 포함한다. 탄소-함유 전구체 가스는 카본 테트라브로마이드 (CBr4), 트리브로모메탄 (CHBr3), 및 다이브로모메탄 (CH2Br2) 중 적어도 하나를 포함한다.
프로세싱 챔버 내의 기판 상에 탄소를 증착하기 위한 방법은 프로세싱 챔버 내의 기판 지지부 상에 기판을 배치하는 단계를 포함한다. 기판은 기판의 적어도 하나의 하부 층 상에 형성된 제 1 두께를 갖는 탄소 막을 포함한다. 방법은 기판 상에 피처들을 형성하기 위해 기판을 에칭하고, 탄소 막의 부분들을 제거하고, 탄소 막의 제 1 두께를 감소시키기 위한 제 1 에칭 단계를 수행하고, 탄소 막의 나머지 부분들 상에 탄소를 선택적으로 증착하는 단계, 및 기판 상의 피처들의 형성을 완료하도록 기판을 에칭하기 위한 적어도 하나의 제 2 에칭 단계를 더 포함한다.
본 개시의 추가 적용 가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 설명 및 구체적인 예들은 단지 예시를 목적으로 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2a, 도 2b, 도 2c 및 도 2d는 예시적인 탄소 마스크 증착 프로세스를 도시한다.
도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 및 도 3f는 본 개시에 따른 예시적인 선택적 탄소 증착 프로세스를 도시한다.
도 4a, 도 4b, 도 4c, 도 4d 및 도 4e는 본 개시에 따른 또 다른 예시적인 선택적 탄소 증착 프로세스를 도시한다.
도 5a, 도 5b 및 도 5c는 본 개시에 따른 예시적인 컨포멀한 탄소 ALD 프로세스를 도시한다.
도 6a, 도 6b 및 도 6c는 본 개시에 따른 탄소 보호 층을 증착하기 위한 예시적인 프로세스를 도시한다.
도 7a 및 도 7b는 본 개시에 따라 기판 상에 형성된 피처들의 피치를 감소시키기 위한 예시적인 프로세스를 도시한다.
도 8a, 도 8b, 도 8c 및 도 8d는 본 개시에 따른 컨포멀한 탄소 증착을 사용한 예시적인 더블 패터닝 프로세스 (double patterning process) 를 도시한다.
도 9는 본 개시에 따른 선택적 탄소 증착 프로세스를 수행하는 예시적인 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사하고 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
증착 프로세스들은 하부 층 또는 기판 상에 막들 (예컨대 비정질 탄소 막들) 을 증착하도록 사용될 수도 있다. 일부 예들에서, 막들은 후속 패턴 에칭 단계들 동안 기판의 피처들을 보호하도록 마스크로서 증착될 수도 있다. 예를 들면, 일부 패터닝 프로세스들 (예를 들어, 메모리 홀 또는 다른 옥사이드-나이트라이드 (ONON) 패터닝) 에서, 비정질 탄소 하드 마스크 (amorphous carbon hardmask; AHM) 막이 이방성 에칭 단계 동안 피처들을 보호하도록 기판 상에 증착될 수도 있다.
AHM 막은 선택적 에칭 단계들 동안 기판 상에 형성된 피처들의 상단부들 (예를 들어, ONON 필라들 또는 스택들) 을 보호한다. 그러나, 에칭 단계들은 또한 AHM 막으로부터 재료를 제거한다. 따라서, (즉, 보다 긴 ONON 스택들에 대한) 깊은 에칭을 위해, 증착된 AHM 막의 두께는 보다 긴 에칭 기간들을 견디도록 증가되어야 한다. 보다 두꺼운 AHM 막과 연관된 증가된 중량은 기판 및/또는 ONON 피처들의 보잉 (bowing) 을 유발할 수도 있다. 다른 예들에서, 포토레지스트 막이 패턴의 피치를 규정하도록 사용될 수도 있다. 그러나, 포토레지스트 막이 사용될 때, 피치를 더 감소시키는 것은 어려울 수도 있다.
본 개시에 따른 시스템들 및 방법들은 이전에 증착된 탄소 막 상에 (예를 들어, 원자 층 증착, 또는 ALD를 사용하여) 탄소를 선택적으로 증착한다. 예를 들면, 탄소 막은 화학적 기상 증착 (chemical vapor deposition; CVD) 을 사용하여 실리콘 (Si), 실리콘 다이옥사이드 (SiO2), 실리콘 나이트라이드 (SiN), 등을 포함하는 기판 또는 하부 층 상에 증착될 수도 있고, 그리고/또는 일부 예들에서, 이전 단계에서 탄소-함유 막을 에칭한 결과로서 부수적으로 증착될 수도 있다. 제 1 에칭 기간에 후속하여, 탄소는 (예를 들어, ALD를 사용하여) 선택적 탄소 성장 단계에서 탄소 막 상에 재증착될 수도 있다. 예를 들면, 선택적 탄소 성장 단계는 이전에 증착된 탄소 막의 나머지 부분에만 탄소를 증착할 수도 있고 다른 피처들 (예를 들어, Si, SiO2, SiN, 등) 상에는 증착하지 않을 수도 있다. 다른 표면들 상에 증착된 임의의 공칭의 양들의 탄소는 등방성 에칭에 의해 제거될 수도 있다. 탄소의 부가적인 증착이 필요에 따라 수행될 수도 있다. 예를 들면, 교번하는 탄소 증착 단계들 및 에칭 단계들이 수행될 수도 있다. 이러한 방식에서, 탄소 막에 의해 제공된 에칭 보호량은 증착된 AHM 막의 초기 두께를 증가시키지 않고 부가적인 에칭 기간들 동안 연장될 수도 있다.
이제 도 1을 참조하면, 본 개시의 원리들에 따른 선택적 탄소 증착을 수행하도록 구성된 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 기판 프로세싱 시스템 (100) 은 프로세싱 챔버 (108) 내에 배치된 기판 지지부 (예를 들어, 페데스탈) (104) 를 포함한다. 기판 (112) 은 프로세싱을 위해 기판 지지부 (104) 상에 배치된다. 예를 들면, 증착 단계 및 에칭 단계를 포함하는 프로세싱이 기판 (112)상에서 수행될 수도 있다.
가스 전달 시스템 (120) 은 프로세싱 챔버 (108) 내로 프로세스 가스들을 흘리도록 구성된다. 예를 들면, 가스 전달 시스템 (120) 은 밸브들 (124-1, 124-2, ..., 및 124-N) (집합적으로 밸브들 (124)) 및 질량 유량 제어기들 (mass flow controllers) (126-1, 126-2, ..., 및 126-N) (집합적으로 MFC들 (126)) 에 연결된 가스 소스들 (122-1, 122-2, ..., 및 122-N) (집합적으로 가스 소스들 (122)) 을 포함한다. MFC들 (126) 은 가스 소스들 (122) 로부터 가스들이 혼합되는 매니폴드 (128) 로의 가스들의 플로우를 제어한다. 매니폴드 (128) 의 출력은 선택 가능한 (optional) 압력 조절기 (132) 를 통해 멀티-인젝터 샤워헤드 (140) 와 같은 가스 분배 디바이스로 공급된다.
일부 예들에서, 기판 지지부 (104) 의 온도는 저항성 히터들 (160) 을 사용하여 제어될 수도 있다. 기판 지지부 (104) 는 냉각제 채널들 (164) 을 포함할 수도 있다. 냉각 유체는 유체 저장소 (168) 및 펌프 (170) 로부터 냉각제 채널들 (164) 로 공급된다. 압력 센서들 (172, 174) 은 압력을 측정하기 위해 매니폴드 (128) 또는 샤워헤드 (140) 각각에 배치될 수도 있다. 밸브 (178) 및 펌프 (180) 는 프로세싱 챔버 (108) 로부터 반응물질들을 배기하고 그리고/또는 프로세싱 챔버 (108) 내 압력을 제어하도록 사용될 수도 있다.
제어기 (182) 는 가스 전달 시스템 (120) 으로부터의 가스 전달을 제어한다. 일부 예들에서, 제어기 (182) 는 멀티-인젝터 샤워헤드 (140) 에 의해 제공된 도징을 제어하는 도즈 제어기 (184) 를 포함할 수도 있다. 제어기 (182) 는 밸브 (178) 및 펌프 (180) 를 사용하여 프로세싱 챔버 내의 압력 및/또는 반응물질들의 배기를 제어한다. 제어기 (182) 는 (예를 들어, 기판 지지부 내의 센서들 (미도시) 및/또는 냉각제 온도를 측정하는 센서들 (미도시) 로부터) 온도 피드백에 기초하여 기판 지지부 (104) 및 기판 (112) 의 온도를 제어한다. 본 개시에 따른 제어기 (182) 는 하기에 보다 상세히 기술된 바와 같이 선택적 탄소 증착을 수행하도록 가스 전달 시스템 (120) 을 제어하도록 구성된다.
일부 예들에서, 기판 프로세싱 시스템 (100) 은 동일한 프로세싱 챔버 (108) 내의 기판 (112) 상에 (예를 들어, 제어기 (182) 에 응답하여) 에칭을 수행하도록 구성될 수도 있다. 따라서, 기판 프로세싱 시스템 (100) 은 RF 전력 (예를 들어, 전압 소스, 전류 소스, 등으로서) 을 생성하고 하부 전극 (예를 들어, 도시된 바와 같이, 기판 지지부 (104) 의 베이스 플레이트) 및 상부 전극 (예를 들어, 샤워헤드 (140)) 중 하나로 제공하도록 구성되는 RF 생성 시스템 (188) 을 포함할 수도 있다. 하부 전극 및 상부 전극 중 다른 하나는 DC 접지될 수도 있고, AC 접지될 수도 있고, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (188) 은 기판 (112) 을 에칭하기 위해 프로세싱 챔버 (108) 내에 플라즈마를 생성하도록 매칭 및 분배 네트워크 (196) 에 의해 피딩되는 (feed) RF 전압을 생성하도록 구성된 RF 생성기 (192) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트 (remotely) 로 생성될 수도 있다. 예시적인 목적들을 위해 도시된 바와 같이, RF 생성 시스템 (188) 은 용량성 결합 플라즈마 (capacitively coupled plasma; CCP) 시스템에 대응하지만, 본 개시의 원리들은 또한, 단지 예를 들어, 트랜스 결합 플라즈마 (transformer coupled plasma; TCP) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들, 등과 같은 다른 적합한 시스템들에 구현될 수도 있다.
이제 도 2a, 도 2b, 도 2c 및 도 2d를 참조하면, 예시적인 탄소 마스크 증착 프로세스가 도시된다. 도 2a는 프로세싱을 위해 제공된 예시적인 기판 (200) 을 도시한다. 예를 들면, 기판 (200) 은 하나 이상의 하부 층들 (204) 을 포함할 수도 있다. 하부 층들 (204) 은 Si, SiO2, SiN, 등을 포함할 수도 있다. 도 2b는 하부 층들 (204) 상에 증착된 교번하는 ONON 층들 (208) 을 도시한다. 도 2c는 ONON 층들 (208) 상에 증착된 AHM 층 (212) (예를 들어, 탄소 AHM 막) 을 도시한다. 도 2d는 ONON 층들 (208) 을 에칭함으로써 형성된 ONON 피처들 (216) (예를 들어, ONON 필라들 또는 스택들) 을 도시한다. AHM 층 (212) 은 선택적 에칭 단계들 동안 형성된 ONON 피처들 (216) 의 상단부들을 보호한다. 도시된 바와 같이, 초기 AHM 층 (212) 은 ONON 피처들 (216) 의 에칭이 완료될 때까지 에칭을 견디도록 충분히 두껍다 (예를 들어, 2 ㎛ 초과).
이제 도 3a, 도 3b, 도 3c, 도 3d, 도 3e, 및 도 3f를 참조하면, 본 개시에 따른 예시적인 선택적 탄소 증착 프로세스가 도시된다. 도 3a는 프로세싱을 위해 제공된 예시적인 기판 (300) 을 도시한다. 예를 들면, 기판 (300) 은 하나 이상의 하부 층들 (304) 을 포함할 수도 있다. 하부 층들 (304) 은 Si, SiO2, SiN, 등을 포함할 수도 있다. 도 3b는 하부 층들 (304) 상에 증착된 교번하는 ONON 층들 (308) 을 도시한다.
도 3c는 (예를 들어, CVD 또는 플라즈마 강화 CVD (plasma enhanced CVD; PECVD) 프로세스를 사용하여) ONON 층들 (308) 상에 증착된 AHM 층 (312) (예를 들어, 탄소 AHM 막) 을 도시한다. 이 예에서, AHM 층 (312) 의 두께는 도 2c에 도시된 AHM 층 (212) (그리고 320에 나타난 바와 같이) 의 두께보다 상당히 얇다. 예를 들면, AHM 층 (312) 의 두께는 AHM 층 (212) 의 두께보다 50 % 이하 (예를 들어, 1 ㎛ 이하) 일 수도 있다. 도 3d는 ONON 층들 (308) 을 에칭함으로써 형성된 ONON 피처들 (316) 을 도시한다. AHM 층 (312) 은 선택적 에칭 단계들 동안 형성된 ONON 피처들 (316) 의 상단부들을 보호한다.
도 3e는 (예를 들어, 하기에 보다 상세히 기술된 바와 같이 ALD 프로세스를 사용하여) AHM 층 (312) 상에 재증착된 부가적 탄소 재료를 도시한다. 즉, 도 3d에서 수행된 초기 에칭에 후속하고 그리고 ONON 피처들 (316) 의 에칭을 완료하기 전에, 탄소는 AHM 층 (312) 의 두께를 증가시키도록 AHM 층 (312) 상에 선택적으로 재증착된다. 예를 들면, 탄소는 ONON 피처들 (316) 또는 ONON 층들 (308) 상으로 증착되지 않고 AHM 층 (312) 의 나머지 부분들 상으로 증착되도록 탄소가 선택적 탄소 성장 단계에서 선택적으로 재증착된다. ONON 피처들 (316) 또는 ONON 층들 (308) 상에 증착된 임의의 탄소는 후속 이방성 에칭 단계들에서 제거될 수도 있다.
이 방식으로, AHM 층 (312) 의 두께는 에칭 동안 손실된 재료를 보상하도록 증가된다. 도 3f는 부가적 에칭에 후속하는 ONON 피처들 (316) 을 도시한다. 도 3e 및 도 3f에 기술된 바와 같이 AHM 층 (312) 상의 탄소의 교번하는 재증착 및 ONON 피처들 (316) 의 에칭은 목표된 깊이로 ONON 피처들 (316) 의 에칭을 완료하기 위해 필요에 따라 반복될 수도 있다.
일 예에서, ALD 프로세스는 하나 이상의 탄소 전구체 가스들 (예를 들어, 탄화수소 종 (CxHy), 예컨대 아세틸렌, 또는 C2H2, 가스를 포함하는 전구체들) 의 도즈를 제 1 기간 동안 (예를 들어, 5 내지 20 초 동안) 도즈 단계의 프로세싱 챔버 (108) 내로 제공함으로써 AHM 층 (312) 의 탄소를 선택적으로 증착하도록 수행된다. (예를 들어, 아르곤, 또는 Ar, 가스를 사용하는) 퍼지 단계가 (예를 들어, 1 내지 10 초 동안) 후속하는 제 2 기간에서 수행될 수도 있다. RF 플라즈마 단계는 퍼지 단계에 후속하는 제 3 기간 (예를 들어, 0.1 내지 1.0 초) 에서 수행된다. 플라즈마 프로세스 가스 (예를 들어, Ar 가스) 가 제공될 수도 있는 반면, 전구체 가스들은 RF 플라즈마 단계 동안 제공되지 않는다. 즉, 플라즈마는 퍼징 단계에 후속하여 부가적인 전구체 가스들을 제공하지 않고 Ar 가스가 흐르는 동안 프로세싱 챔버 (108) 내에서 생성될 수도 있다. 따라서, 상대적으로 소량의 탄소 (예를 들어, 1 내지 2 Å) 가 RF 플라즈마 단계 동안 증착된다. 제 2 퍼지 단계가 프로세싱 챔버 (108) 로부터 부산물들을 퍼지하기 위해 제 4 기간 (예를 들어, 1 내지 10 초) 에서 수행될 수도 있다.
도징 단계, 퍼징 단계, 및 RF 플라즈마 단계는 도 3c 내지 도 3e에 도시된 바와 같이 탄소를 선택적으로 증착하도록 복수 회 (예를 들어, 200 내지 300 사이클들 동안) 반복될 수도 있다. 일부 예들에서, 이 선택적인 탄소 증착 프로세스는 AHM 층 (312) 상에 30 내지 50 ㎚의 탄소의 증착을 발생시키는 한편, 0 내지 3.0 ㎚의 탄소만이 Si 층, SiO2 층, 또는 SiN 층 상에 증착된다.
도 4a, 도 4b, 도 4c, 도 4d 및 도 4e는 본 개시에 따른 또 다른 예시적인 선택적 탄소 증착 프로세스를 도시한다. 이 예에서, 탄소 핵 생성 층 또는 시드 층이 증착되고 부가적 탄소 재료가 (예를 들어, ALD를 사용하여) 탄소 시드 층 상에 선택적으로 증착된다. 도 4a는 하나 이상의 하부 층들 (404) (예를 들어, Si, SiO2, SiN, 등), 하부 층들 (404) 상에 증착된 교번하는 ONON 층들 (408), 및 ONON 층들 (408) 상에 증착된 AHM 층 (412) (예를 들어, 탄소 AHM 막) 을 포함하는 예시적인 기판 (400) 을 도시한다. 예를 들면, 탄소 시드 층 (414) 은 ONON 층들 (408) 상에 증착되고 AHM 층 (412) 은 탄소 시드 층 (414) 상에 증착된다. 일부 예들에서, 탄소 시드 층 (414) 은 ONON 층들 (408) 상에 컨포멀하게 증착된 CFx 층에 대응할 수도 있다. AHM 층 (412) 의 탄소는 CFx 층 상에 선택적으로 증착한다.
일부 예들에서, 탄소 시드 층 (414) 은 CVD 또는 PECVD 프로세스를 사용하여 증착될 수도 있다. 탄소 시드 층 (414) 은 0.5 내지 2 Å의 두께를 가질 수도 있다. 일 예에서, 카본 브로마이드 전구체 가스 (예를 들어, 카본 테트라마이드, 또는 CBr4) 는 탄소 시드 층 (414) 을 증착하도록 프로세싱 챔버 (108) 내로 흐른다. 다른 예시적인 전구체 가스들은 이로 제한되는 것은 아니지만, 트리브로모메탄 (CHBr3) 및 다이브로모메탄 (CH2Br2) 을 포함한다.
일부 예들에서, 탄소는 프로세싱 챔버 (108) 내로 C2H2 전구체 가스를 흘리고 RF 플라즈마 단계에서 플라즈마를 생성함으로써 AHM 층 (412) 을 형성하도록 탄소 시드 층 (414) 상에 (예를 들어, ALD를 사용하여) 선택적으로 증착된다. 예를 들면, Ar 가스와 같은 플라즈마 프로세스 가스는 탄소 시드 층 (414) 상으로 탄소의 선택적인 증착을 유발하도록 RF 플라즈마 단계 동안 제공될 수도 있다. 선택 가능한 (optional) 퍼지 단계들은 도 3a 내지 도 3f에 기술된 것과 유사한 방식으로 선택적 탄소 증착을 수행하기 전 및/또는 후속하여 수행될 수도 있다.
도 4b는 ONON 층들 (408) 을 에칭함으로써 형성된 ONON 피처들 (416) 을 도시한다. AHM 층 (412) 은 선택적 에칭 단계들 동안 형성된 ONON 피처들 (416) 의 상단부들을 보호한다. 도 4c는 에칭이 탄소 시드 층 (414) 까지 AHM 층 (412) 을 제거하는 예를 도시한다. 도 4d는 AHM 층 (412) 을 재형성하기 위해 탄소 시드 층 (414) 상에 증착된 부가적 탄소 재료를 도시한다. 즉, 도 4b에서 수행된 최초 에칭에 후속하여 그리고 ONON 피처들 (416) 의 에칭을 완료하기 전, 탄소는 AHM 층 (312) 의 두께를 증가시키기 위해 탄소 시드 층 (414) 상에 선택적으로 재증착된다. 예를 들면, 탄소는 ONON 피처들 (416) 또는 ONON 층들 (408) 상으로 증착되지 않고 AHM 층 (412) 의 나머지 부분들 및/또는 탄소 시드 층 (414) 상으로 증착되도록 탄소가 선택적 탄소 성장 단계에서 (예를 들어, ALD를 사용하여) 선택적으로 재증착된다. ONON 피처들 (416) 또는 ONON 층들 (408) 상에 증착된 임의의 탄소는 후속 이방성 에칭 단계들에서 제거될 수도 있다.
도 4e는 부가적 에칭에 후속하는 ONON 피처들 (416) 을 도시한다. 도 4d 및 도 4f에 기술된 바와 같이 탄소 시드 층 (414) 및/또는 AHM 층 (412) 상의 탄소의 교번하는 재증착 및 ONON 피처들 (416) 의 에칭은 목표된 깊이로 ONON 피처들 (416) 의 에칭을 완료하기 위해 필요에 따라 반복될 수도 있다.
도 5a, 도 5b 및 도 5c는 본 개시에 따른 예시적인 컨포멀한 탄소 ALD 프로세스를 도시한다. 도 5a는 하나 이상의 하부 층들 (504) 및 하부 층들 상에 형성된 패턴 피처들 (예를 들어, 스택들 또는 필라들) (508) 을 포함하는 예시적인 기판 (500) 을 도시한다. 하부 층들 (504) 은 Si, SiO2, SiN, 등을 포함할 수도 있다. 단지 예를 들면, 패턴 피처들 (508) 은 실리콘, 실리콘 나이트라이드, 실리콘 옥사이드, ONON 층들, 등을 포함하는 피처들에 대응할 수도 있다.
도 5b는 패턴 피처들 (508) 상에 증착된 탄소 시드 층 (512) 을 도시한다. 예를 들면, 탄소 시드 층 (512) 은 PECVD 프로세스를 사용하여 증착된 층에 대응할 수도 있다. 일 예에서, PECVD 프로세스는 프로세싱 챔버 (108) 내로 하나 이상의 전구체 가스들 (예를 들어, CBr4) 을 흘리는 단계 및 헬륨 (He), 분자 수소 (H2), 등과 같은 플라즈마 프로세스 가스를 부가적으로 흘리는 동안 플라즈마를 생성하는 단계를 포함한다.
도 5c는 탄소 시드 층 (512) 상에 형성된 컨포멀한 탄소 ALD 층 (516) 을 도시한다. 예를 들면, 컨포멀한 (conformal) 탄소 ALD 층 (516) 은 탄소 시드 층 (512) 상에 탄소를 선택적으로 증착함으로써 형성된다. 예를 들면, 탄소는 C2H2 가스와 같은 탄화수소 전구체를 프로세싱 챔버 (108) 내로 흘리고 RF 플라즈마 단계에서 플라즈마를 생성함으로써 탄소 시드 층 (512) 상에 선택적으로 증착된다. 단지 예를 들면, Ar 가스와 같은 플라즈마 프로세스 가스는 탄소 시드 층 (512) 상에 탄소의 선택적 증착을 유발하도록 RF 플라즈마 단계 동안 제공될 수도 있다.
도 6a, 도 6b 및 도 6c는 본 개시에 따른 탄소 보호 층을 증착하기 위한 예시적인 프로세스를 도시한다. 도 6a는 하나 이상의 하부 층들 (604), 하부 층들 (604) 상에 형성된 ONON 층들 (608), 및 ONON 층들 (608) 내로 이전에 에칭된 ONON 피처들 (612) 을 포함하는 예시적인 기판 (600) 을 도시한다. 하부 층들 (604) 은 Si, SiO2, SiN, 등을 포함할 수도 있다. 도시된 바와 같이, 탄소 마스크 층의 나머지 부분들 (예를 들어, 탄소 AHM, 금속 도핑 다이아몬드 유사 탄소 (metal-doped diamond-like carbon; MDLC) 층, 등) (616) 은 ONON 피처들 (612) 상에 형성될 수도 있다. 탄소 마스크 층 (616) 은 에칭 동안 ONON 피처들 (612) 의 상부 표면들을 보호한다.
도 6b는 탄소 마스크 층 (616), ONON 층들 (608) 의 상부 표면들, 및 ONON 피처들 (612) 의 측벽들 (624) 상에 선택적으로 증착된 컨포멀한 탄소 보호 층 (620) 을 도시한다. 예를 들면, 탄소 보호 층 (620) 은 ALD 프로세스를 사용하여 증착된 층에 대응할 수도 있다. 일 예에서, ALD 프로세스는 프로세싱 챔버 (108) 내로 하나 이상의 전구체 가스들 (예를 들어, CBr4) 을 흘리는 단계 및 탄소 보호 층 (620) 을 컨포멀하게 증착하도록 플라즈마 프로세스 가스, 예컨대 헬륨 (He), 분자 수소 (H2), 등을 부가적으로 흘리는 단계 동안 플라즈마를 생성하는 단계를 포함한다. 다른 예들에서, 탄소 보호 층 (620) 은 별도의 단계에서 증착되지 않는다. 오히려, 탄소 보호 층 (620) 은 에칭 단계들 동안 재증착되는 탄소 마스크 층 (616) 으로부터의 재료에 의해 형성될 수도 있다.
도 6c는 부가적 에칭에 후속하는 ONON 피처들 (612) 을 도시한다. ONON 피처들 (612) 의 측벽들 (624) 을 보호하는 탄소 보호 층 (620) 의 부분들이 에칭된다. 따라서, 도 6c에 도시 된 바와 같은 탄소 보호 층 (620) 은 도 6b의 탄소 보호 층에 비해 보다 얇다. 탄소 보호 층 (620) 은 부가적 에칭 단계들을 위해 재증착될 수도 있다. 이러한 방식으로, 탄소 보호 층 (620)의 교번 증착 단계 및 에칭 단계는 ONON 피처들 (612) 의 에칭이 완료될 때까지 반복될 수도 있다.
도 7a 및 도 7b는 본 개시에 따라 기판 (700) 상에 형성된 피처들의 피치를 감소시키기 위한 예시적인 프로세스를 도시한다. 도 7a는 AHM (704), 에칭 정지 층 (etch stop layer; ESL) (708), 등과 같은 하나 이상의 하부 층들 및 하부 층들 상에 형성된 패턴 피처들 (예를 들어, 맨드릴들 또는 스페이서들) (712) 을 포함하는 기판 (700) 의 예를 도시한다. 맨드릴들 (712) 은 후속 에칭 단계들에서 제거될 수도 있는 임의의 적합한 희생 재료 (예를 들어, Si, SiO2, 등) 를 포함할 수도 있다. 맨드릴들 (712) 은 피치 (716) 에 따라 이격된다.
도 7b는 맨드릴들 (712) 상에 선택적으로 증착된 컨포멀한 탄소 층 (720) 을 도시한다. 예를 들면, 컨포멀한 탄소 층 (720) 은 다른 예들에서 상기 기술된 바와 같이 ALD 프로세스를 사용하여 증착된 층에 대응할 수도 있다. 컨포멀한 탄소 층 (720) 은 새로운 감소된 피치 (724) 를 형성하도록 맨드릴들 (712) 사이의 간격을 감소시킨다.
도 8a, 도 8b, 도 8c 및 도 8d는 본 개시에 따른 컨포멀한 탄소 증착을 사용한 예시적인 더블 패터닝 프로세스 (double patterning process) 를 도시한다. 도 8a는 하나 이상의 하부 층들 (804), 버퍼 층 (예를 들어, SiN 또는 SiN2 층) (808), 및 하부 층들 상에 형성된 패턴 피처들 (예를 들어, 맨드릴들 또는 스페이서들) (812) 을 포함하는 기판 (800) 의 예를 도시한다. 맨드릴들 (812) 은 후속 에칭 단계들에서 제거될 수도 있는 임의의 적합한 희생 재료 (예를 들어, Si, SiO2, 등) 를 포함할 수도 있다.
도 8b는 맨드릴들 (812) 상에 선택적으로 증착된 컨포멀한 (예를 들어, 비정질) 탄소 층 (816) 을 도시한다. 예를 들면, 컨포멀한 탄소 층 (816) 은 다른 예들에서 상기 기술된 바와 같이 ALD 프로세스를 사용하여 증착된 층에 대응할 수도 있다. 도 8c에 도시된 바와 같이, 컨포멀한 탄소 층 (816) 은 탄소 층 (816) 의 측벽들 (예를 들어, 측벽 스페이서들) (820) 이 기판 (800) 상에 남아 있는 동안 버퍼 층 (808) 및 맨드릴들 (812) 의 상부 표면들로부터 (즉, SiO2, SiN2, 등에 대해) 선택적으로 에칭될 수 있다. 일부 예들에서, 탄소 층 (816) 의 에칭은 하나 이상의 애싱 단계들을 포함한다.
도 8d에 도시된 바와 같이, 부가적 에칭 단계들은 측벽 스페이서들 (820) 사이로부터 맨드릴들 (812) 을 제거하도록 수행된다. 측벽 스페이서들 (820) 은 부가적 프로세싱 단계들을 위해 기판 (800) 상에 남아 있다.
상기 제공된 예들 외에, 컨포멀한 탄소 증착은 다른 반도체 프로세싱 단계들을 위해 사용될 수도 있다. 예를 들면, PECVD 또는 다른 ALD 프로세스는 기판 내의 보이드들을 충진 (즉, 갭 충진) 하도록 기판 상에 탄소를 컨포멀하게 증착하도록 사용될 수도 있다.
이제 도 9를 참조하면, 본 개시에 따른 선택적 탄소 증착 프로세스를 수행하는 예시적인 방법 (900) 이 904에서 시작된다. 908에서, 기판이 프로세싱 챔버 내에 배치된다. 예를 들면, 기판은 하나 이상의 하부 층들 및 하부 층들 상에 증착된 교번하는 ONON 층들을 포함할 수도 있다. 912에서, 선택 가능한 (optional) 탄소 핵생성 층 또는 시드 층이 ONON 층들 상에 증착된다. 916에서, AHM 층 (예를 들어, 탄소 AHM 막) 이 ONON 층들 상에 (그리고/또는 탄소 시드 층 상에) 증착된다. 920에서, ONON 피처들 (예를 들어, 스택들 또는 필라들) 이 ONON 층들을 에칭함으로써 형성된다.
924에서, 부가적 탄소 재료가 (예를 들어, ALD 프로세스를 사용하여) 에칭 단계 동안 제거된 재료를 대체하도록 AHM 층 상에 선택적으로 증착된다. 일 예에서, AHM 층의 선택적 탄소 증착은 제 1 기간 (예를 들어, 5 내지 20 초 동안) 동안 도징 단계에서 프로세싱 챔버 내로 하나 이상의 탄소 전구체 가스들 (예를 들어, 아세틸렌, 또는 C2H2, 가스) 의 도즈를 제공함으로써 수행된다. (예를 들어, 아르곤, 또는 Ar, 가스를 사용하는) 퍼지 단계가 (예를 들어, 1 내지 10 초 동안) 후속하는 제 2 기간에서 수행될 수도 있다. RF 플라즈마 단계는 퍼지 단계에 후속하는 제 3 기간 (예를 들어, 0.1 내지 1.0 초) 에서 수행된다. 제 2 퍼지 단계가 프로세싱 챔버로부터 부산물들을 퍼지하도록 제 4 기간 (예를 들어, 1 내지 10 초) 에서 수행될 수도 있다. 도즈 단계, 퍼지 단계, 및 RF 플라즈마 단계는 목표된 양의 탄소를 선택적으로 증착하도록 복수 회 반복될 수도 있다.
928에서, ONON 피처들을 완성하도록 부가적 에칭이 수행된다. 924 및 928에서 수행된 AHM 층 상의 탄소의 교번하는 재증착 및 ONON 피처들의 에칭은 목표된 깊이까지 ONON 피처들의 에칭을 완료하기 위해 필요에 따라 반복될 수도 있다. 방법 900은 932에서 종료한다.
전술한 기술은 본질적으로 단지 예시적이고 본 개시, 이의 적용, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 도면들, 명세서, 및 이하의 청구항들의 연구시 자명해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 특징들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 임의의 하나 이상의 이들 특징들은, 임의의 다른 실시 예들의 특징들로 구현될 수 있고 그리고/또는 조합이 명시적으로 기술되지 않더라도 결합될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 서로에 대한 하나 이상의 실시 예들의 치환들은 본 개시의 범위 내에 있다.
엘리먼트들 사이 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 사이) 의 공간적 및 기능적 관계들은 "연결된", "인게이지된 (engaged)", "커플링된", "인접한 (adjacent)", "옆에 (next to)", "상에 (on top of)", "위에", "아래에" 그리고 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. 상기 개시에서 제 1 엘리먼트와 제 2 엘리먼트 사이의 관계가 기술될 때 "직접적인" 것으로 명시적으로 기술되지 않는 한, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 하나 이상의 중개 엘리먼트들이 (공간적으로 또는 기능적으로) 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하는 논리 (A OR B OR C) 를 의미하도록 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고,인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 이산화물, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들면, 제어기는 웨이퍼 프로세싱의 원격 액세스를 인에이블할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 프로세싱 챔버의 기판 상에 탄소를 증착하는 방법에 있어서,
    프로세싱 챔버 내의 기판 지지부 상에 기판을 배치하는 단계로서, 상기 기판은 상기 기판의 적어도 하나의 하부 층 상에 형성된 제 1 두께를 갖는 탄소 막을 포함하는, 상기 기판을 배치하는 단계;
    상기 기판 상에 피처들을 형성하기 위해 상기 기판을 에칭하는 제 1 에칭 단계를 수행하는 단계로서, 상기 탄소 막의 부분들을 제거하고 상기 탄소 막의 상기 제 1 두께를 감소시키는, 상기 제 1 에칭 단계를 수행하는 단계;
    상기 탄소 막의 나머지 부분들 상에 탄소를 선택적으로 증착하는 단계; 및
    상기 기판 상에 상기 피처들의 형성하는 단계를 완료하도록 상기 기판을 에칭하기 위한 적어도 하나의 제 2 에칭 단계를 수행하는 단계를 포함하는, 기판 상에 탄소 증착 방법.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 하부 층은 실리콘, 실리콘 다이옥사이드, 및 실리콘 나이트라이드 중 적어도 하나를 포함하는, 기판 상에 탄소 증착 방법.
  3. 제 1 항에 있어서,
    상기 기판은 상기 적어도 하나의 하부 층 상에 형성된 교번하는 옥사이드-나이트라이드 (oxide-nitride; ONON) 층들을 포함하고, 그리고 상기 탄소 막은 상기 ONON 층들 상에 형성되는, 기판 상에 탄소 증착 방법.
  4. 제 3 항에 있어서,
    상기 피처들을 형성하는 단계는 상기 ONON 층들에 ONON 필라들을 형성하는 단계를 포함하는, 기판 상에 탄소 증착 방법.
  5. 제 1 항에 있어서,
    상기 탄소 막은 비정질 하드 마스크 (amorphous hard mask; AHM) 막인, 기판 상에 탄소 증착 방법.
  6. 제 1 항에 있어서,
    상기 제 1 두께는 1 ㎛ 이하인, 기판 상에 탄소 증착 방법.
  7. 제 1 항에 있어서,
    상기 탄소를 선택적으로 증착하는 단계는 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 사용하여 상기 탄소를 증착하는 단계를 포함하는, 기판 상에 탄소 증착 방법.
  8. 제 7 항에 있어서,
    상기 ALD 프로세스를 수행하는 단계는 제 1 기간 동안의 도징 단계에서 상기 프로세싱 챔버 내로 적어도 하나의 탄소-함유 전구체 가스를 공급하는 것, 제 2 기간의 퍼징 단계에서 상기 프로세싱 챔버를 퍼지하는 것, 그리고 제 3 기간의 플라즈마 단계에서 상기 프로세싱 챔버에서 상기 플라즈마를 생성하는 것을 포함하는, 기판 상에 탄소 증착 방법.
  9. 제 8 항에 있어서,
    상기 ALD 프로세스를 수행하는 단계는 상기 도징 단계, 상기 퍼징 단계, 및 상기 플라즈마 단계를 반복적으로 교번하는 단계를 포함하는, 기판 상에 탄소 증착 방법.
  10. 제 8 항에 있어서,
    상기 플라즈마를 생성하는 단계는 상기 적어도 하나의 탄소-함유 전구체 가스를 공급하지 않고 상기 프로세싱 챔버 내로 플라즈마 프로세스 가스를 공급하는 동안 상기 플라즈마를 생성하는 것을 포함하는, 기판 상에 탄소 증착 방법.
  11. 제 1 항에 있어서,
    상기 기판 상에 탄소 시드 층을 증착하는 단계 및 상기 탄소 시드 층 상에 상기 탄소 막을 증착하는 단계를 더 포함하는, 기판 상에 탄소 증착 방법.
  12. 제 11 항에 있어서,
    상기 탄소 시드 층은 탄소 플루오라이드 (CFx) 를 포함하고, 여기서 x는 정수인, 기판 상에 탄소 증착 방법.
  13. 제 11 항에 있어서,
    상기 탄소 시드 층을 증착하는 단계는 CVD 또는 PECVD 프로세스를 사용하여 상기 탄소 시드 층을 증착하는 것을 포함하는, 기판 상에 탄소 증착 방법.
  14. 제 11 항에 있어서,
    상기 탄소 시드 층을 증착하는 단계는 상기 프로세싱 챔버 내로 탄소-함유 전구체 가스를 공급하는 것을 포함하는, 기판 상에 탄소 증착 방법.
  15. 제 14 항에 있어서,
    상기 탄소-함유 전구체 가스는 카본 테트라브로마이드 (CBr4), 트리브로모메탄 (CHBr3) 및 다이브로모메탄 (CH2Br2) 중 적어도 하나를 포함하는, 기판 상에 탄소 증착 방법.
  16. 프로세싱 챔버 내의 기판 상에 탄소를 증착하도록 구성된 시스템에 있어서,
    프로세싱 챔버 내로 프로세스 가스들을 공급하도록 구성된 가스 전달 시스템;
    상기 프로세싱 챔버 내에 플라즈마를 생성하도록 구성된 무선 주파수 (radio frequency; RF) 플라즈마 생성 시스템; 및
    제어기로서,
    상기 기판은 상기 프로세싱 챔버 내의 기판 지지부 상에 배치되고, 상기 기판은 상기 기판의 적어도 하나의 하부 층 상에 형성된 제 1 두께를 갖는 탄소 막을 포함하고,
    상기 기판 상에 피처들을 형성하도록 상기 기판을 에칭하기 위한 제 1 에칭 단계를 수행하도록 상기 RF 플라즈마 생성 시스템을 제어하고―상기 제 1 에칭 단계를 수행하는 단계는 상기 탄소 막의 부분들을 제거하고 상기 탄소 막의 상기 제 1 두께를 감소시킴―,
    상기 탄소 막의 나머지 부분들 상에 탄소를 선택적으로 증착하도록 상기 가스 전달 시스템을 제어하고, 그리고
    상기 기판 상의 피처들의 형성하는 단계를 완료하기 위해 상기 기판을 에칭하기 위한 적어도 하나의 제 2 에칭 단계를 수행하도록 상기 RF 플라즈마 생성 시스템을 제어하도록 구성되는, 상기 제어기를 포함하는, 시스템.
  17. 제 16 항에 있어서,
    상기 제어기는 상기 탄소를 증착하기 위해 원자 층 증착 (atom layer deposition; ALD) 프로세스를 수행하도록 상기 가스 전달 시스템 및 상기 RF 플라즈마 생성 시스템을 제어하도록 구성되고, 상기 ALD 프로세스를 수행하기 위해, 상기 제어기는,
    제 1 기간 동안의 도징 단계에서 상기 프로세싱 챔버 내로 적어도 하나의 탄소-함유 전구체 가스를 공급하도록 상기 가스 전달 시스템을 제어하고,
    제 2 기간의 퍼징 단계에서 상기 프로세싱 챔버를 퍼지하고, 그리고
    제 3 기간의 플라즈마 단계에서 상기 프로세싱 챔버 내에 플라즈마를 생성하도록 상기 RF 플라즈마 생성 시스템을 제어하도록 구성되는, 시스템.
  18. 제 16 항에 있어서,
    상기 제어기는 상기 기판 상에 탄소 시드 층을 증착하고 상기 탄소 시드 층 상에 상기 탄소 막을 증착하기 위해 상기 가스 전달 시스템 및 상기 RF 플라즈마 생성 시스템을 제어하도록 구성되는, 시스템.
  19. 제 18 항에 있어서,
    상기 탄소 시드 층을 증착하는 단계는 CVD 또는 PECVD 프로세스를 사용하여 상기 탄소 시드 층을 증착하도록 상기 프로세싱 챔버 내로 탄소-함유 전구체 가스를 공급하는 것을 포함하는, 시스템.
  20. 제 19 항에 있어서,
    상기 탄소-함유 전구체 가스는 카본 테트라마이드 (CBr4), 트리브로모메탄 (CHBr3), 및 다이브로모메탄 (CH2Br2) 중 적어도 하나를 포함하는, 시스템.
KR1020227001971A 2019-06-24 2020-06-22 선택적 탄소 증착 KR20220030249A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962865566P 2019-06-24 2019-06-24
US62/865,566 2019-06-24
PCT/US2020/038872 WO2020263718A1 (en) 2019-06-24 2020-06-22 Selective carbon deposition

Publications (1)

Publication Number Publication Date
KR20220030249A true KR20220030249A (ko) 2022-03-10

Family

ID=74060344

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227001971A KR20220030249A (ko) 2019-06-24 2020-06-22 선택적 탄소 증착

Country Status (5)

Country Link
US (1) US20220235464A1 (ko)
JP (1) JP2022539699A (ko)
KR (1) KR20220030249A (ko)
CN (1) CN114270476A (ko)
WO (1) WO2020263718A1 (ko)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3410574B2 (ja) * 1994-03-31 2003-05-26 株式会社メガチップス 単結晶炭素薄膜、軸配向多結晶炭素薄膜、高音用スピーカの振動板、半導体レーザ装置のヒートシンク、及び工具形成方法
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
JP2007224383A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
CN102738074B (zh) * 2012-07-05 2014-07-02 中微半导体设备(上海)有限公司 半导体结构的形成方法
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
WO2015038246A2 (en) * 2013-09-15 2015-03-19 SanDisk Technologies, Inc. Method of integrating select gate source and memory hole for three-dimensional non-volatile memory device
US9570460B2 (en) * 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9464348B2 (en) * 2014-08-26 2016-10-11 HGST Netherlands B.V. Method for making a patterned perpendicular magnetic recording disk using glancing angle deposition of hard mask material
CN107546108A (zh) * 2014-10-30 2018-01-05 应用材料公司 在低温下生长薄外延膜的方法
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
CN107567650A (zh) * 2015-04-02 2018-01-09 东京毅力科创株式会社 使用双频电容耦合等离子体(ccp)以euv抗蚀剂进行的沟槽和孔图案化
KR101704723B1 (ko) * 2015-04-06 2017-02-09 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10490411B2 (en) * 2017-05-19 2019-11-26 Applied Materials, Inc. Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications

Also Published As

Publication number Publication date
CN114270476A (zh) 2022-04-01
US20220235464A1 (en) 2022-07-28
WO2020263718A1 (en) 2020-12-30
JP2022539699A (ja) 2022-09-13

Similar Documents

Publication Publication Date Title
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9520295B2 (en) Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US9928994B2 (en) Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
US11742212B2 (en) Directional deposition in etch chamber
US9640409B1 (en) Self-limited planarization of hardmask
US10096475B1 (en) System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
US20180247828A1 (en) Systems for performing in-situ deposition of sidewall image transfer spacers
US20200017967A1 (en) Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
JP7419342B2 (ja) トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US20220235464A1 (en) Selective carbon deposition
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer

Legal Events

Date Code Title Description
A201 Request for examination