TWI642803B - 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜 - Google Patents

用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜 Download PDF

Info

Publication number
TWI642803B
TWI642803B TW105129400A TW105129400A TWI642803B TW I642803 B TWI642803 B TW I642803B TW 105129400 A TW105129400 A TW 105129400A TW 105129400 A TW105129400 A TW 105129400A TW I642803 B TWI642803 B TW I642803B
Authority
TW
Taiwan
Prior art keywords
decane
bis
plasma
aluminum
dioxane
Prior art date
Application number
TW105129400A
Other languages
English (en)
Other versions
TW201710538A (zh
Inventor
新建 雷
金武性
李建恒
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201710538A publication Critical patent/TW201710538A/zh
Application granted granted Critical
Publication of TWI642803B publication Critical patent/TWI642803B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文所述的是保形膜及用於形成保形的第4、5、6、13族金屬或類金屬摻雜氮化矽介電膜的方法。在一態樣中,提供一種形成氮化鋁矽膜的方法,其包含下列步驟:將基材裝備於反應器中;將至少一金屬前驅物引進該反應器,該至少一金屬前驅物於該基材表面的至少一部分上反應以提供一化學吸附層;以洗淨氣體洗淨該反應器;將有機胺基矽烷前驅物引進該反應器而於該基材表面的至少一部分上反應以提供一化學吸附層;將包含氮和惰性氣體的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及任意地以惰性氣體洗淨該反應器;而且其中該等步驟係重複進行至獲得期望厚度的氮化鋁膜為止。

Description

用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜 相關申請案之交互參照
本案請求2015年9月11日申請的申請案第62/217296號及2015年10月6日申請的申請案第62/237899號的權益。在此以引用的方式將申請案第62/217296及62/237899號的揭示內容併入本文。
本文所述的是一種用於沉積化學計量或非化學計量的摻雜一或更多週期表第4、5、6或13族的金屬或類金屬的氮化矽膜的方法,其使用一或更多第4、5、6或13族金屬或類金屬前驅物。更明確地說,本文所述的是以電漿為基礎的循環式方法,其包括,但不限於,用於沉積能用於,舉例來說,製造積體電路裝置的第4、5、6及/或13族摻雜金屬或類金屬介電膜例如鋁、鎵、銦、鉈、硼或其組合的氮化矽膜之電漿強化原子層沉積(“PEALD”)及電漿強化循環式化學氣相沉積(“PECCVD”)方法。
由於其獨特性質的組合,所以含第4、5、6、13族的金屬或類金屬介電膜例如,但不限於,氮化鋁(AlN)或氮化硼(BN)膜能用於各式各樣的電子應用。先前技藝提供用於製備而且使用第13族摻雜金屬或類金屬介電膜例如AlSiN膜的不同方法。舉例來說,美國專利第3,974,003號揭示一種用於沉積含Al、N的層之化學氣相沉積(CVD)方法,而且該方法包含提供要被塗佈的基材、載氣及氮來源化合物、鋁來源化合物及矽來源材料的氣態混合物,及將該基材加熱至介於約500至1300°的溫度而引致該含Al、N和Si的層之形成。該等反應物,NH3、AlCl3和SiH4,皆能被使用。
美國公開案第2015/0221495號揭示一種用於形成膜的循環式沉積製程,其包含藉由供應含有第一元素的氣體將包括第一元素的第一層形成於基材上;藉由供應含有第二元素的氣體形成包括第一和第二元素的第二層以將該第一層改質;及藉由將該第一層的形成及該第二層的形成設定成一個週期而且重複該循環至少一次而形成具有預定厚度的薄膜。
美國專利第8,791,034號揭示一種用於將氮化鋁矽層形成於基材上的CVD方法在CVD條件之下使用金屬前驅物、矽前驅物和氮前驅物以使該氮化鋁矽層沉積於該基材上。
在此以引用的方式將先前確認的專利及專利申 請案併入本文。
所以,此技藝必需提供一種用於沉積保形的高品質第4、5、6、13族元素摻雜例如但不限於,鋁摻雜氮化矽或鋁摻雜碳氮化矽膜的低溫(例如,約500℃或以下的加工溫度)方法,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低濕式蝕刻速率(於稀氫氟酸(0.5重量%HF)中測量時)、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本文所述的是用於將化學計量或非化學計量的第4、5、6、13族金屬或類金屬摻雜氮化矽膜,例如鋁、鎵、銦、鉈、硼或其組合摻雜氮化矽膜形成於基材的至少一部分上之方法。更明確地說,本文所述的是用於沉積鋁摻雜氮化矽或鋁摻雜碳氮化矽膜的原子層沉積(ALD)或循環式CVD方法。
在一態樣中,提供一種用於沉積鋁摻雜氮化矽膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.在足以使一金屬前驅物反應而且提供化學吸附層的製程條件之下將該金屬前驅物引進該反應器,該金屬前驅物係選自由AlCl3、三甲基鋁(TMA)、三乙基鋁、叁(二甲基胺基)鋁(TDMAA)及叁(二乙基胺基)鋁(TDEAA)所組成的群組;c.洗淨以移除未反應的金屬前驅物; d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以洗淨氣體洗淨該反應器;f.將下式I至IV所示的有機胺基矽烷前驅物引進該反應器:
R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環,其中該有機胺基矽烷前驅物於該基材表面的至少一部分上反應以提供一化學吸附層; g.以洗淨氣體洗淨該反應器;h.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至i係重複進行至獲得期望厚度的膜為止。在式I、III及IV的某些具體實施例中,R1和R2相同。於式I、III及IV的其他具體實施例中,R1和R2不同。於式I、III及IV的各個不同具體實施例中,R1和R2能連結在一起以形成一環。在這些具體實施例中,該環可能是經取代或未經取代的芳香族或脂肪族環。在又其他具體實施例中,R1和R2沒連結在一起以形成一環。於又另一具體實施例中,該含料源的電漿係選自由氮和氬電漿、氮和氖電漿、氨電漿、氮和氨電漿、氮和氦電漿、氨和氬電漿、氨和氮電漿、含NF3的電漿、含有機胺的電漿及其混合物所組成的群組。在又另一具體實施例中,該含料源的電漿包含不含氫的電漿,其係選自由氮電漿;包含氮和氦的電漿;包含氮和氬的電漿;包含氮和氖的電漿所組成的群組。
在一具體實施例中,本文所述的是一種電漿強化原子層沉積(ALD)或類電漿強化ALD方法,其係於低溫或介於約25℃至約500℃的一或更多沉積溫度下,使用第4、5、6、13族金屬或類金屬前驅物及本文所述的具有式I至IV的有機胺基矽烷前驅物以包含氮和任意稀有氣體的電漿製程沉積保形的第4、5、6、13族金屬或類金屬氮化矽或碳氮化矽 膜,該方法包含以下步驟:本發明的另一態様關於含矽膜、保形膜及藉由獨創性製程獲得的膜。本發明之一態樣關於一種鋁摻雜氮化矽或鋁摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低濕式蝕刻速率(於稀氫氟酸(HF)溶液中測量時)、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的另一態様關於一種鎵摻雜氮化矽或鎵摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的另一態様關於一種鈦摻雜氮化矽或鈦摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的另一態様關於一種鋯摻雜氮化矽或鋯摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的另一態様關於一種鉿摻雜氮化矽或鉿摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的另一態様關於一種鉭摻雜氮化矽或鉭 摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
本發明的不同態樣和具體實施例皆能單獨或互相結合運用。
圖1提供AlSiN膜的穿透式電子顯微(TEM)影像,該AlSiN膜係利用實施例4所述的叁(二甲基胺基)鋁、二異丙基胺基矽烷及氮電漿來沉積,該影像顯示下列步階覆蓋率:中間69%及底部78%。
圖2提供AlSiN膜的穿透式電子顯微(TEM)影像,該AlSiN膜係利用實施例6所述的三甲基鋁、雙(第三丁基胺基)矽烷及氮電漿來沉積,該影像顯示下列步階覆蓋率:中間81%及底部94%。
於低溫,例如,500℃或更低、約200℃至約400℃而且在一些案例中約250℃至約450℃的溫度下,沉積符合被視為高品質膜的一或更多標準的保形的化學計量或非化學計量的第4、5、6、13族金屬或類金屬摻雜氮化矽或碳氮化矽介電膜例如,但不限於,鋁或硼或鎵摻雜氮化矽膜,已經是存在已久的工業挑戰。鋁摻雜氮化矽膜若具有下列特 徵中的一或多者便被視為“高品質”膜:藉由X-射線反射量測術(XRR)測量時2.2克/立方釐米(g/cc)或更高(例如,約2.2至約3.0g/cc,約2.4至約2.9g/cc而且在某些案例中約2.4至約2.8g/cc)的密度、低濕式蝕刻速率(於稀氫氟酸(於去離子水中0.5重量% HF)中測量時)、藉由二次離子質譜儀(SIMS)測量時20原子(at.)%或更低(例如,約1原子%至約20原子%,約5原子%至約20原子%而且在某些案例中約1原子%至約10原子%)的氫含量、1.80或更高(例如,約1.8至約2.8,約2.0至約2.6而且在某些案例中約2.2至約2.4)的折射率、藉由水銀探針測量時1E-7A/cm2或更低(例如,約1E-8A/cm2至約9E-7A/cm2,約1E-8A/cm2至約1E-9A/cm2而且在某些案例中約1E-7A/cm2至約1E-9A/cm2)的低洩漏電流、藉由水銀探針測量時6MV/cm或更高(例如,約6MV/cm至約10MV/cm,約6MV/cm至約8MV/cm而且在某些案例中約7MV/cm至約9MV/cm)的高擊穿電壓及其組合。
本文所述的是用於將化學計量或非化學計量的第4、5、6或13族元素摻雜氮化矽膜例如鋁摻雜氮化矽、硼摻雜氮化矽、鎵摻雜氮化矽、銦摻雜氮化矽、鉈摻雜氮化物及其組合形成於基材的至少一部分上之方法。該第4、5、6或13族元素例如鈦、鉿、鋯、鉭、鎢、鋁、鎵、銦的含量能變化於1至20原子%、1原子%至15原子%,而且在某些案例中約1原子%至10原子%。
本文也述及用於將包含矽和氮的化學計量或非化學計量的鋁或鎵摻雜氮化矽膜形成於基材的至少一部分上 之方法。在某些具體實施例中,該鋁摻雜氮化矽膜另外包含碳或鋁例如碳氮化矽或氮化矽鋁膜。在某些具體實施例中,該鋁摻雜氮化矽膜另外包含氧例如氧氮化矽膜。在各個不同具體實施例中,該鋁摻雜氮化矽膜包含氧和碳例如碳氧氮化矽膜。在整個說明書中,本文所用的措辭“鋁摻雜氮化矽”表示包含鋁、矽及氮的膜,其係選自由化學計量或非化學計量的氮化鋁矽、碳氮化鋁矽、碳氧氮化鋁矽及其混合物所組成的群組。該鋁或鎵含量能變化於1至20原子%,1原子%至15原子%,而且在某些案例中約1原子%至10原子%。
如先前述及的,該等膜係利用第4、5、6、13族金屬或類金屬前驅物例如金屬前驅物及至少一下式I至IV所示的有機胺基矽烷來沉積:
R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基、矽烷基、有機胺基矽烷基、鹵矽烷基;R2係選自氫、線性C2 至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基。具有式I、II、III和IV的示範有機胺基矽烷包括,但不限於,二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-矽烷基十氫喹喏啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-矽烷基甲基胺基)吡啶、N-第三丁基二矽氮烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基苯基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基苯基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙二胺基)二矽烷、雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基 胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷和雙(環己基胺基)二甲基矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、雙(第三丁基胺基)矽烷、叁(二甲基胺基)矽烷、叁(異丙基胺基)矽烷。在一些具體實施例中,R1係式II中的矽烷基、有機胺基矽烷基、鹵矽烷基,該式II的有機胺基矽烷變成三矽烷基胺(TSA,R1=SiH3),或TSA衍生物例如單氯-TSA(R1=ClSiH2)、單溴-TSA(R1=BrSiH2)、單有機胺基-TSA(R1=NR4R5,其中R4和R5係獨立地選自由氫、線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基所組成的群組)。
本文所述的具有式I、II、III和IV的有機胺基矽烷前驅物顯現平衡狀態的反應性和安定性,使得該等前驅物非常適合作為用於半導體裝置製程的PEALD或PECCVD前驅物。關於反應性,某些前驅物可能具有太高而無法被汽化並且運送至該反應器以便以膜形式沉積於基材上的沸點。具有相對較高沸點(例如,高於約250℃)的前驅物要求該運送容器及管道必須於該前驅物的沸點或更高在指定真空度之下被加熱以防止凝結或粒子形成於該容器、管道或二者中。不欲為任何理論或解釋所束縛,咸相信該等具有式I、II、III或IV的有機胺基矽烷前驅物具有比先前技藝所揭示者更多Si-H基團,藉以有可能讓該高品質鋁摻雜氮化矽藉由使Si-H與Si-NH反應,被吸附的金屬前驅物催化形成Si-N-Si鍵聯而沉積。再 者咸相信在某些具體實施例中,具有Si-NH基團的式IV的有機胺基矽烷前驅物例如雙(第三丁基胺基)矽烷(BTBAS)或雙(第二丁基胺基)甲基矽烷或雙(異丙基胺基)甲基矽烷可能與吸附的Al-Me基團反應而形成Al-N-Si鍵,於是讓更多矽片斷能錨定於結構化基材上而且促進高保形的鋁摻雜氮化矽或碳氮化矽的形成。在某些具體實施例中,本文所述的具有式I至IV的有機胺基矽烷前驅物包含2重量%或更少,或1重量%或更少,或0.5重量%或更少的副產物(儲存經過6個月或更久,或1年或更久之後),那表示具有貯藏安定性。除了前述優點之外,在某些具體實施例中,例如利用PEALD或PECCVD沉積方法沉積鋁摻雜氮化矽膜,本文所述的機胺基矽烷前驅物可能能於一或更多沉積溫度下沉積高密度材料,例如,400℃或更低,350℃或更低,300℃或更低,或250℃或更低,200℃或更低,150℃或更低,100℃或更低,或50℃或更低。
在本文的式子及整個說明中,該措辭“烷基”表示藉由移除一個氫原子而衍生自烷而且具有1至10或3至6或3至4個碳原子的基團。示範的線性烷基包括,但不限於,甲基、乙基、正丙基、正丁基及正戊基。示範的分支烷基包括,但不限於,異丙基、第三丁基及第二丁基。
在本文的式子及整個說明中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在本文的式子及整個說明中,該措辭“芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範的芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在本文的式子及整個說明中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有3至10或3至6或3至4個碳原子的基團。
在本文的式子及整個說明中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有3至10或3至6或3至4個碳原子的基團。
在本文的式子及整個說明中,該措辭“二烷基胺基”表示具有接附於一氮原子的二烷基而且具有1至10或2至6或2至4個碳原子之基團。示範的芳基包括,但不限於,二甲基胺基、二乙基胺基及乙基甲基胺基。
在本文的式子及整個說明中,該措辭“烷基矽烷基”表示具有至少一矽原子及1至10或2至6或2至4個碳原子之基團。示範的烷基矽烷基包括,但不限於,甲基矽烷基(MeSiH2-)、二甲基矽烷基(Me2SiH-)、三甲基矽烷基(Me3Si-)。該矽烷基表示H3Si-或(H3Si)2NSiH2-。
在本文的式子及整個說明中,該措辭“拉電子基基”表示用以從該M-N鍵牽引電子的原子或基團。適合的拉電子基或取代基的實例包括,但不限於,腈基(CN)。於某些具體實施例中,拉電子取代基可能毗鄰或近鄰式I至III任一者中的N。拉電子基的其他非限定例包括F、Cl、Br、I、CN、 NO2、RSO及/或RSO2,其中R可能是C1至C10烷基例如,但不限於,甲基或另一基團。
在本文的式子及整個說明中,該措辭“三矽烷基胺衍生物”表示衍生自三矽烷基胺的化合物。示範的三矽烷基胺衍生物包括,但不限於,具有式(ClSiH2)N(SiH3)2的(氯矽烷基)雙(矽烷基)胺、具有式(BrSiH2)N(SiH3)2的(溴矽烷基)雙(矽烷基)胺、具有式(iPr2NSiH2)N(SiH3)2的(二異丙基胺基矽烷基)雙(矽烷基)胺、具有式(Et2NSiH2)N(SiH3)2的(二乙基胺基矽烷基)雙(矽烷基)胺、具有式(Me2NSiH2)N(SiH3)2的(二甲基胺基矽烷基)雙(矽烷基)胺、具有式(EtMeNSiH2)N(SiH3)2的(乙基甲基胺基矽烷基)雙(矽烷基)胺、具有式N(H2SiNMe2)3的叁(二甲基胺基矽烷基)胺、具有式N(H2SiNEt2)3的叁(二乙基胺基矽烷基)胺。
在整個說明書中,本文所用的措辭“揮發性鋁前驅物”表示伴隨著蒸氣的具有至少一Al-C鍵、Al-N鍵、Al-鹵基鍵或其組合物的鋁化合物。
在整個說明書中,本文所用的措辭“揮發性鎵前驅物”表示於50℃或更高的溫度下具有0.5托耳或更高蒸氣壓的具有至少一Ga-C鍵、Ga-N鍵、Ga-鹵基鍵或其組合物的鎵化合物。
在某些具體實施例中,式I至IV中的烷基、烯基、炔基、烷氧基、二烷基胺基、芳基及/或拉電子基團中的一或多者可為經取代或有一或更多原子或原子團被取代換掉,舉例來說,氫原子。示範的取代基包括,但不限於,氧、 硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。舉例來說,該措辭“氟化烷基”表示該烷基的一或更多原子例如氫原子被氟原子取代的基團。
在整個說明書中,本文所用的措辭“有機胺”描述具有至少一氮原子的有機化合物。有機胺的實例包括,但不限於,甲基胺、乙基胺、丙基胺、異丙基胺、第三丁基胺、第二丁基胺、第三戊基胺、伸乙基二胺、二甲基胺、三甲基胺、二乙基胺、吡咯、2,6-二甲基六氫吡啶、二正丙基胺、二異丙基胺、乙基甲基胺、N-甲基苯胺、吡啶和三乙基胺。同樣地,在整個說明書中,本文所用的措辭"有機胺基"表示由衍生自上述二級或一級有機胺類的至少一氮原子所組成的有機基團。"有機胺基"不包括-NH2基團。
在整個說明書中,本文所用的措辭“步階覆蓋率”係定義成沉積於具有導孔或溝槽或二者的結構化或特徵化基材中的金屬摻雜氮化矽介電膜之二厚度的百分比,其中底部步階覆蓋率的比率為(以%表示):該特徵底部處的厚度除以該特徵頂部處的厚度,而且中間步階覆蓋率的比率為(以%表示):該特徵側壁的厚度除以該特徵頂部處的厚度。使用本文所述方法沉積的膜顯現約60%或更高、約70%或更高、約80%或更高或約90%或更高的步階覆蓋率,其指示該等膜是保形的。
在整個說明書中,本文所用的措辭“介電膜”係定義成介電常數藉由水銀探針測得為20或更低,10或更低,7或更低,6或更低,5或更低,4或更低的材料。
該方法也包括第4、5、6、13族金屬或類金屬前驅物。示範的第4、5、6、13族金屬或類金屬前驅物包括,但不限於,三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁、叁(乙基甲基胺基)鋁、氯化烷基鋁(舉例來說氯化甲基鋁,DMACl)、三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(乙基甲基胺基)鎵、氯化鋯(ZrCl4)、肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、叁(二甲基胺基)(環戊二烯基)鋯、叁(二甲基胺基)(甲基環戊二烯基)鋯、叁(二甲基胺基)(乙基環戊二烯基)鋯、叁(二乙基胺基)(環戊二烯基)鋯、叁(二乙基胺基)(甲基環戊二烯基)鋯、叁(二乙基胺基)(乙基環戊二烯基)鋯、叁(乙基甲基胺基)(環戊二烯基)鋯、叁(乙基甲基胺基)(甲基環戊二烯基)鋯、叁(乙基甲基胺基)(乙基環戊二烯基)鋯、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、叁(二甲基胺基)(環戊二烯基)鉿、叁(二甲基胺基)(甲基環戊二烯基)鉿、叁(二甲基胺基)(乙基環戊二烯基)鉿、叁(二乙基胺基)(環戊二烯基)鉿、叁(二乙基胺基)(甲基環戊二烯基)鉿、叁(二乙基胺基)(乙基環戊二烯基)鉿、叁(乙基甲基胺基)(環戊二烯基)鉿、叁(乙基甲基胺基)(甲基環戊二烯基)鉿、叁(乙基甲基胺基)(乙基環戊二烯基)鉿、氯化鈦(TiCl4)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、氯化鉭(TaCl5)、第三丁基亞胺基叁(二乙基胺基) 鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、六氯化鎢、五氯化鎢、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。其他示範的第4、5、6、13族金屬或類金屬前驅物包括“硼烷有機胺錯合物”。“硼烷有機胺錯合物”表示藉由使甲硼烷或乙硼烷與有機胺反應所形成的穩定又具有揮發性的硼烷錯合物。示範的有機胺硼烷錯合物包括,但不限於,硼烷三甲基胺錯合物、硼烷三乙基胺錯合物、二甲基胺硼烷、硼烷吡啶錯合物、硼烷嗎啉錯合物、硼烷第三丁基胺錯合物、硼烷4-甲基嗎啉錯合物、硼烷N,N-二異丙基乙基胺錯合物、硼烷伸乙二胺錯合物及2-甲基吡啶硼烷錯合物。
在某些具體實施例中,該第4、5、6、13族金屬包含鋁。在這些具體實施例中,該前驅物係選自由AlCl3、三甲基鋁(TMA)、三乙基鋁、氯化甲基鋁(MeAlCl2)、叁(二甲基胺基)鋁(TDMAA)、叁(二甲基胺基)鋁(TDMAA)及叁(二乙基胺基)鋁(TDEAA)所組成的群組中的鋁前驅物。
用以形成第4、5、6、13族金屬或類金屬氮化物膜,例如但不限於,氮化鋁或氮化硼膜或塗層的方法係沉積 製程。適用於本文所揭示方法的沉積製程實例包括,但不限於,使用此技藝已知裝備的電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程。用於本文時,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生預期沉積的任何製程。如本文所用的,該措辭“原子層沉積製程”表示把材料的膜沉積於變化組成的基材上之自限性(例如,各反應週期所沉積的膜材料量恆定)連續表面化學。儘管本文所用的前驅物、試劑及料源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中。在某案例中,該等汽化前驅物能通過電漿產生器。在一具體實施例中,該氮化鋁膜係運用ALD製程來沉積。在另一具體實施例中,該氮化鋁膜係運用CCVD製程來沉積。在另一具體實施例中,該氮化鋁膜係運用熱CVD製程來沉積。本文所用的措辭“反應器”包括,但不限於,具有此技藝已知設計及操作的反應艙或沉積艙。該類ALD製程在此係定義成循環式CVD製程,其將高保形的氮化鋁膜提供於基材上,該高保形的氮化鋁膜具有下列至少一者:藉由橢圓儀測量時約10%或更低的不均勻度百分比、0.1Å/週期或更高的沉積速率或其組合。
在某些具體實施例中,本文所揭示的方法藉由運用PEALD或PECCVD方法在引進該反應器之前及/或期間隔開該等前驅物而避免該等前驅物的預反應。關於這一點,沉積技術例如PEALD或PECCVD製程係用以沉積第4、5、6、 13族金屬或類金屬氮化物膜。在一具體實施例中,該膜係經由PEALD製程藉由使該基材表面輪流暴露於該氮化鋁前驅物、含氮來源或其他前驅物或試劑中之其一或多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,便停止該膜生長。
在某些具體實施例中,該等第4、5、6、13族金屬或類金屬氮化物膜包含鋁及氮或硼及氮或鎵及氮或鈦及氮。在這些具體實施例中,運用本文所述的方法沉積的氮化鋁或氮化硼或氮化鎵膜係於含氮來源存在之下形成。含氮來源可以至少一含氮來源的形式引進該反應器中及/或可附帶地存於用於該沉積製程使用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氮/氬電漿。在某些具體實施例中,該含氮來源包含於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm的流速下引進該反應器的氮/氬電漿來源氣體。該含氮來源能被引進經過介於約0.1至約100秒的時間。在該膜係藉由ALD或循環式CVD製程沉積的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
在本文所述的方法中,包含含氮氣體(例如,但不限於,氮及任意地稀有氣體)的含氮電漿能在原地或遠距產 生,較佳地具有大於氮原子質量(亦即,28amu)的原子質量之稀有氣體。不欲為任何理論或解釋所束縛,咸相信有原子質量大於氮原子質量的稀有氣體存在將創造更多原子氮自由基。該氮電漿來源氣體係於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm或更高的流速下引進該反應器。該含氮電漿能被引進經過介於約0.01至約100秒或更多的時間。在多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮電漿可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等前驅物脈衝與氮電漿之間的洗淨時期可能小到0秒。在又另一具體實施例中,當氫電漿能夠使用時氫電漿能在原地或遠距使用純氫(H2)與稀有氣體混合而產生。該含有氮和稀有氣體二者的電漿中之稀有氣體重量百分比能變化於1重量%至99重量%(例如,約1重量%至約50重量%,約10重量%至約90重量%而且在某些案例中約5重量%至約95重量%),而該含有氫和稀有氣體二者的電漿中之稀有氣體重量百分比也能變化於1重量%至99重量%。
不欲為理論所束縛,咸相信含氮和稀有氣體例如氬二者的電漿能協助具有較低氫含量的第4、5、6、13族金屬或類金屬介電膜例如氮化鋁膜的形成以及於後繼沉積週期中提供反應性部位例如Al-Me或Al-NMe2基團於該化學吸附表面的至少一部分上,尤其是結構化基材的側壁,讓含矽片斷能錨定於這些反應性部位上,從而推升氮化矽沉積於導孔或溝槽的側壁或底部上而且使60%或更高的步階覆蓋率成為 可能,因為極難以達成例如步階覆蓋率。此外咸相信由電漿功率除以電極面積來定義的電漿密度(舉例來說,6”晶圓ALD反應器的電漿功率為450W,假設該電極面積與該晶圓相同,該電漿密度係約2.5W/cm2)較佳為低於2W/cm2以降低該基材以及該基材上的任何內建結構上的潛在電漿損害,卻仍舊能製造能供於半導體製程的高品質氮化鋁膜。本文所述的方法之電漿密度介於約0.01至約2W/cm2,或約0.01至約1.5W/cm2,或約0.01至1W/cm2。典型的電漿頻率介於10KHz至2.4GHz,較佳為10kHz至60MHz。在某些具體實施例中,能運用雙重射頻電漿,一低頻介於10kHz至1MHz而且另一中等頻率選自由13.56MHz和27.1MHz所組成的群組。
本文所述的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,當洗淨氣體用的惰性氣體包含稀有氣體。本文所用的措辭“稀有氣體”意指於週期表第18族中見到者並且包括,氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr)及其混合物。在一特定具體實施例中,當洗淨氣體用的稀有氣體包含氬。在各個不同具體實施例中,該包含Ar的洗淨氣體係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的前驅物材料和可能留在該反應器中的任何副產物。
供應該等前驅物、該含氮來源、及/或其他前驅 物、來源氣體、及/或試劑的個別步驟可藉由變化供應彼等的時期來進行以改變所得膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其他前驅物或其組合中的至少其一以引發反應並且將該膜或塗層形成於該基材上。此能量可藉由,但不限於,熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,藉由應用此技藝已知的裝備來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特性。在該沉積涉及電漿的具體實施例中,該電漿產生製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生製程。
該等第4、5、6、13族金屬或類金屬前驅物、具有式I至IV的有機胺基矽烷或二者可以單晶圓或批次依各式各樣的方式例如起泡、蒸氣牽引(vapor draw)或直接液體注射(DLI)輸送給該反應艙例如PEALD或類PEALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性而且有益於特定 最終用途應用的溶劑組分以於基材上形成膜。在本文所述的組合物的某些具體實施例中,示範的溶劑能包括,但不限於,醚、三級胺、烷基烴、芳香族烴、三級胺基醚及其組合。在某些具體實施例中,該有機胺基二矽烷的沸點與該溶劑的沸點之間的差異係40℃或更小。該溶劑中的矽前驅物化合物的重量%能變化於1至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%。
在某些具體實施例中,從該等前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且具有本文所述的式I至IV之金屬前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含至少一具有本文所述的化學式的氮化鋁前驅物之溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
氬及/或其他惰性氣體流可當成載運氣體運用以協助在該前驅物脈衝的期間將該至少一金屬前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙製程壓力係約2托耳或更低。在其他具體實施例中,該反應艙製程壓力係約10托耳或更低。
在典型的PEALD或PECCVD或類PEALD製程中,在最初暴露於該氮化鋁前驅物的反應艙之加熱器段上加熱基材例如,但不限於,氧化矽、碳摻雜氧化矽、撓性基材或金屬氮化物基材以便使該金屬前驅物能以化學方式吸附於該基材表面上。洗淨氣體例如氮、氬或其他惰性氣體從該加 工艙洗掉沒被吸附的過量鋁。經過充分洗淨以後,含氮來源可被引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工週期能重複進行以達成期望的膜厚度。在其他具體實施例中,在真空之下抽排能用以從該加工艙移除沒被吸附的過量鋁,等到在抽排作用之下充分抽空以後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一抽排洗淨以從該艙移除反應副產物。
在一態樣中,提供一種藉由電漿強化原子層沉積法或類電漿強化ALD沉積法沉積鋁摻雜氮化矽或鎵摻雜氮化矽膜之方法,該方法包含下列步驟:a.將基材裝備於反應器中;b.在足以與該基材交互作用的製程條件之下(例如,使該鋁前驅物反應提供化學吸附層)將鋁或鎵前驅物引進該反應器,該鋁或鎵前驅物係選自由AlCl3、三甲基鋁(TMA)、氯化甲基鋁、三乙基鋁、叁(二甲基胺基)鋁(TDMAA)、叁(二乙基胺基)鋁(TDEAA)、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(乙基甲基胺基)鎵、叁(二乙基胺基)鎵所組成的群組;c.洗淨以移除未反應的鋁前驅物;d.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以洗淨氣體洗淨該反應器;f.將下式I至IV所示的有機胺基矽烷前驅物引進該反應 器:
R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基,其中該有機胺基矽烷前驅物與該基材交互作用(例如,於該基材表面的至少一部分上反應以提供一化學吸附層);g.以洗淨氣體洗淨該反應器;h.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分交互作用(例如,反應)而且提供至少一反應性部位, 其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至i係重複進行至獲得期望厚度的膜為止。在一些具體實施例中,該含料源的電漿可依照至少一氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、單烷基肼、二烷基肼、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氮/氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3電漿、有機胺電漿及其混合物。在其他具體實施例中,該電漿係選自由氫電漿、氦電漿、氖電漿、氬電漿、氙電漿、氫/氦電漿、氫/氬電漿及其混合物所組成的群組。在本文所述方法的某些具體實施例中,重複進行步驟b至e以提供厚度介於約0.1至約500Å,或約0.1至約5Å,或約0.1至約10Å,或約0.1至約50Å,或0.1至100Å的膜。在某些具體實施例中,步驟b至e能在步驟f至i之前重複多次以創造包含氮化鋁及具有較低鋁含量(亦即鋁含量根據XPS測量結果為10%或更低)的氮化矽更迭的奈米疊層結構。在此或另一具體實施例中,將步驟f至i重複多次以創造包含氮化鋁及具有較高鋁含量(亦即鋁含量根據XPS測量結果為10%或更高)的氮化矽更迭的奈米疊層結構。在一些具體實施例中,該含料源的電漿可依照至少一氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。在本文所述的方法之一特定具體實施例中,包含氫的電漿能在步驟d或h之前介入以助於移除 該鋁或硼前驅物與該表面之間的反應產生的烴,該包含氫的電漿係選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組。在一可供選擇的具體實施例中,該電漿包含非氫電漿。於其他具體實施例中,相對於習用金屬摻雜含矽和氮的導電膜例如具有低於10原子%矽含量,由肆(二甲基胺基)鈦(TDMAT)、矽烷及氨所沉積的TiSiN,步驟b中的鋁前驅物能用金屬前驅物取代以提供金屬摻雜含矽和氮的介電膜。該金屬前驅物能選自由以下所組成的群組:氯化鋯(ZrCl4)、肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、叁(二甲基胺基)(環戊二烯基)鋯、叁(二甲基胺基)(甲基環戊二烯基)鋯、叁(二甲基胺基)(乙基環戊二烯基)鋯、叁(二乙基胺基)(環戊二烯基)鋯、叁(二乙基胺基)(甲基環戊二烯基)鋯、叁(二乙基胺基)(乙基環戊二烯基)鋯、叁(乙基甲基胺基)(環戊二烯基)鋯、叁(乙基甲基胺基)(甲基環戊二烯基)鋯、叁(乙基甲基胺基)(乙基環戊二烯基)鋯、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)、肆(乙基甲基胺基)鉿(TEMAH)、叁(二甲基胺基)(環戊二烯基)鉿、叁(二甲基胺基)(甲基環戊二烯基)鉿、叁(二甲基胺基)(乙基環戊二烯基)鉿、叁(二乙基胺基)(環戊二烯基)鉿、叁(二乙基胺基)(甲基環戊二烯基)鉿、叁(二乙基胺基)(乙基環戊二烯基)鉿、叁(乙基甲基胺基)(環戊二烯基)鉿、叁(乙基甲基胺基)(甲基環戊二烯基)鉿、叁(乙基甲基胺基)(乙基環戊二烯基)鉿、氯化鈦(TiCl4)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦 (TEMAT)、氯化鉭(TaCl5)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、六氯化鎢、五氯化鎢、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。於其他具體實施例中,該金屬前驅物可在有機胺基矽烷前驅物之後引進該反應器,亦即,該有機胺基矽烷前驅物在步驟b時加入而該金屬前驅物在步驟f時加入。
在另一態樣中,提供一種藉由電漿強化原子層沉積法或類電漿強化ALD沉積法沉積鎵摻雜氮化矽或鋁摻雜氮化矽膜之方法,該方法包含下列步驟:a.將基材裝備於反應器中;b.將至少一金屬前驅物引進該反應器,該金屬前驅物係選自由AlCl3、三甲基鋁(TMA)、三乙基鋁、氯化甲基鋁、叁(二甲基胺基)鋁(TDMAA)、叁(二乙基胺基)鋁(TDEAA)、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(二乙基胺基)鎵、叁(乙基甲基胺基)鎵及其他揮發性鋁或鎵前驅物所組成的群組;c.洗淨以移除未反應的金屬前驅物; d.將下式I至IV所示的有機胺基矽烷前驅物引進該反應器:
R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2和R3係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基,其中該有機胺基矽烷前驅物與該基材表面的至少一部分交互作用(例如,反應)以提供一化學吸附層;e.以洗淨氣體洗淨該反應器;f.將含料源的電漿引進該反應器以與該化學吸附層的至 少一部分交互作用(例如,反應)而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及g.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至g係重複進行至獲得期望厚度的膜為止。在一些具體實施例中,該含料源的電漿可依照至少一氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、單烷基肼、二烷基肼、氮電漿、氮/氫、氮/氦、氮/氬電漿、氨電漿、氮/氨電漿、氨/氦電漿、氨/氬電漿、氨/氮電漿、NF3電漿、有機胺電漿及其混合物。在其他具體實施例中,該電漿係選自由氫電漿、氦電漿、氖電漿、氬電漿、氙電漿、氫/氦電漿、氫/氬電漿及其混合物所組成的群組。於其他具體實施例中,該金屬前驅物可在有機胺基矽烷前驅物之後引進該反應器,亦即,該有機胺基矽烷前驅物在步驟b時加入而該金屬前驅物在步驟f時加入。
以上的步驟定義本文所述方法的一個週期;而且該循環可重複進行直到獲得期望厚度的膜為止。在各個不同具體實施例中,咸了解本文所述方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含料源的電漿的個別步驟可藉由變化供應彼等的時期來進行以改變產生的氮化物膜的化學計量組成,但是總是使用低於關於可利用的第4、5、6、13族金屬或類金屬的化學計量的氮。
在某些具體實施例中,所產生的第4、5、6、13族金屬或類金屬摻雜氮化矽膜或塗層能夠暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外線曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。在本文所述方法之又另一具體實施例中,對該膜或該原沉積膜進行處理步驟。該處理步驟能於該沉積步驟的至少一部分期間,在該沉積步驟之後及其組合進行。示範的處理步驟包括,但不限於,藉由高溫熱退火處理;電漿處理;紫外線(UV)處理;雷射;電子束處理及其組合以影響該膜的一或更多性質。藉著本文所述的具有一或二Si-C-Si鍵聯的矽前驅物所沉積之膜,當與藉著先前揭示的矽前驅物在相同條件之下所沉積之膜相比時,具有改良的性質例如,但不限於,比該處理步驟之前的濕式蝕刻速率更低的濕式蝕刻速率或比該處理步驟之前的密度更高的密度。在一特定具體實施例中,在該沉積製程的期間,原沉積膜有經過間歇性處理。這些間歇性或中間沉積處理能,舉例來說,在各ALD循環之後,在每隔一定次數(例如,但不限於,一個(1)ALD循環,兩個(2)ALD循環,五個(5)ALD循環,十個(10)或更多ALD循環,五十個(50)或更多ALD循環,一百個(100)或更多ALD循環,五佰個(500)或更多ALD循環)的ALD之後進行。
在該膜藉著高溫退火步驟處理的具體實施例中,該退火溫度比該沉積溫度更高至少100℃或更多。在各個不同具體實施例中,該退火溫度介於約400℃至約1000℃。在各個不同具體實施例中,該退火處理能於真空(<760托 耳)、惰性環境或含氧環境(例如H2O、N2O、NO2或O2)中進行。
在該膜以UV處理步驟來處理的具體實施例中,膜用寬帶UV,或者波長介於約150奈米(nm)至約400nm的UV來源曝光。在一特定具體實施例中,等到達成期望膜厚度之後該原沉積膜用UV於該沉積艙以外的不同艙中曝光。
在該膜以電漿來處理的具體實施例中,該電漿來源係選自由氫電漿、包含氫和氦的電漿、包含氫和氬的電漿、氦電漿、氬電漿、氮電漿、氨電漿及其組合所組成的群組。
如先前提及的,本文所述的方法可用以將第4、5、6、13族金屬或類金屬摻雜氮化矽膜沉積於基材的至少一部分。適合基材的實例包括但不限於,矽、鍺、矽/鍺、SiO2、Si3N4、OSG、FSG、碳化矽、氫化碳化矽、氮化矽、氫化氮化矽、碳氮化矽、氫化碳氮化矽、硼氮化物、抗反射塗層、光阻劑、撓性基材例如IGZO、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻絕層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與各式各樣的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程皆相容。
所沉積的膜具有多種應用,其包括,但不限於,電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。
下列實施例舉例說明本文所述的第4、5、6、13族金屬或類金屬摻雜氮化矽膜的沉積方法而且不會以任何方式限制後附申請專利範圍的範疇。
實施例
在以下實施例中,除非另行指明,否則均由沉積於中等電阻率(14至17Ω-cm)單晶矽晶圓基材上的樣品膜獲得性質。所有膜沉積皆使用具有13.56MHz直接電漿的噴灑頭設計的CN-1反應器或無電漿的錯流型CN-1反應器(比較例)來進行。在典型的製程條件中,除非另行指明,否則艙壓係固定於介於約1至約5托耳的壓力。使用另一惰性氣體例如氬或氮來保持艙壓。該金屬前驅物係利用蒸氣牽引或氬起泡法來運送,而且有機胺基矽烷前驅物係利用蒸氣牽引來運送。典型應用的RF功率係於150mm晶圓承載器的電極面積上加諸125W以提供0.7W/cm2的功率密度。該等膜沉積包含關於熱ALD及電漿強化ALD(PEALD)之分別列於表1的步驟。
已沉積的膜之折射率(RI)及厚度係利用橢圓儀來測量。膜不均勻性利用下述標準方程式來計算:不均勻性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))x 100。膜結構及組成利用傅利葉轉換紅外線(FTIR)光譜術、X-射線光電子光譜術(XPS)及動態二次離子質譜儀(DSIMS)來分析。該等膜的密度利用X-射線反射儀(XRR)來測量。
比較例1. 利用二異丙基胺基矽烷(DIPAS)及氮電漿來進行氮 化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。該有機胺基矽烷前驅物二異丙基胺基矽烷(DIPAS)係利用該蒸氣牽引法運送至該反應艙。圖案晶圓試片的深寬比(AR)=12:1(例如,AR係定義成導孔或溝槽的深度除以寬度;寬度=約84nm,深度=約1030nm)而且係用於測試步階覆蓋率。該ALD循環包含下列製程步驟:
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將有機胺基矽烷前驅物引進該反應器
N2總流量:1000sccm
有機胺基矽烷脈衝:1秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:10秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
該等步驟重複進行1500週期。該膜截面的穿透式電子顯微術(TEM)測量結果顯示下列厚度:頂部的氮化矽=235埃(Å),相當於0.16Å/週期的氮化矽生長速率。所得的氮化矽的步階覆蓋率係中間20%及底部42%。
實施例1. 利用三甲基鋁(TMA)、二異丙基胺基矽烷(DIPAS)及氮電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。該等前驅物,三甲基鋁(TMA)當作該金屬前驅物及二異丙基胺基矽烷(DIPAS)當作有機胺基矽烷前驅物,係利用該蒸氣牽引法運送至該反應艙。氮電漿係用作電漿來源。圖案晶圓試片的深寬比(AR)=13:1(例如,AR係定義成導孔或溝槽的深度除以寬度;寬度=80nm,深度=1050nm)而且係用於測試步階覆蓋率。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
N2總流量:1000標準立方釐米(sccm)
TMA脈衝:0.1秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
f.將有機胺基矽烷前驅物引進該反應器
N2總流量:1000sccm
DIPAS前驅物脈衝:1秒
g.洗淨
N2總流量:1000sccm
洗淨時間:10秒
h.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:10秒
i.洗淨
N2總流量:1000sccm
洗淨時間:10秒
在實施例1中,步驟b至e重複進行50次,緊接著步驟f至i重複1000週期(亦即,氮化鋁:TMA/洗淨/電漿/洗淨=0.1s/10s/5*s/10s重複50週期;接著氮化矽:DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複1000週期)。該膜截 面的穿透式電子顯微術(TEM)測量結果顯示下列厚度:頂部的氮化矽=190埃(Å),相當於的氮化矽生長速率約0.19Å/週期及氮化鋁=18Å。氮化鋁似乎稍有助於改良氮化矽的生長速率,亦即,將生長速率從0.16Å提高至0.19Å/週期。氮化矽的步階覆蓋率:中間11%及底部32%。
比較例2a. 利用三甲基鋁(TMA)及氮電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。三甲基鋁(TMA)係用作金屬前驅物及氮電漿係用作電漿來源。該ALD循環係利用下列製程參數來進行。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
N2總流量:1000sccm
TMA脈衝:0.1秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
步驟b至e分別重複進行100週期、200週期及400週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計算得到0.58Å/週期。
比較例2b. 利用二異丙基胺基矽烷(DIPAS)及氮電漿來進行氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。二異丙基胺基矽烷(DIPAS)係用作矽前驅物及氮電漿係用作電漿來源。該ALD循環如下:DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s。該循環分別重複進行250週期、500週期、1000週期及1500週期。氮化矽的生長速率係由該厚度對比週期數的圖形計算得到0.15Å/週期。
實施例2. 利用三甲基鋁(TMA)、二異丙基胺基矽烷(DIPAS),及氮電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將三甲基鋁(TMA)作為金屬前驅物及二異丙基胺基矽烷(DIPAS)作為有機胺基矽烷前驅物運送至該反應 器。以AR=13:1(寬度=80nm,深度=1050nm)的圖案晶圓試片用於測試步階覆蓋率。該ALD循環包含列於表1的製程步驟而且利用下列製程參數。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
N2總流量:1000sccm
TMA脈衝:0.1秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
f.將有機胺基矽烷前驅物引進該反應器
N2總流量:1000sccm
DIPAS脈衝:1秒
g.洗淨
N2總流量:1000sccm
洗淨時間:10秒
h.引進電漿
N2總流量:1000sccm
電漿功率:125W
電漿脈衝:10秒
i.洗淨
N2總流量:1000sccm
洗淨時間:10秒
在此實施例中,一個超循環的組成為:氮化鋁使步驟b至e重複進行一次,之後接著氮化矽使步驟f至i進行20次(亦即超循環=氮化鋁:(TMA/洗淨/電漿/洗淨=0.1s/10s/5*s/10s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s)x 20週期)。該超循環重複進行50次(亦即(氮化鋁:(TMA/洗淨/電漿/洗淨=0.1s/10s/5*s/10s)x 1週期)+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s)x 20)x 50週期。TEM測量結果顯示下列厚度:在頂部的鋁摻雜氮化矽=270Å,相當於假定有29Å氮化鋁的話約0.24Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間56%及底部67%。
比較例3a. 利用三甲基鋁(TMA)及氮電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑 頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。三甲基鋁(TMA)係用作金屬前驅物及氮電漿係用作電漿來源。該ALD循環係利用下列製程參數來進行。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
N2流的總流量:1000sccm
TMA脈衝:0.5秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2流的總流量:1000sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
步驟b至e分別重複進行100週期、200週期及300週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計算得到0.72Å/週期。
實施例3. 利用三甲基鋁(TMA)和二異丙基胺基矽烷(DIPAS) 及氮電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將三甲基鋁(TMA)作為金屬前驅物及二異丙基胺基矽烷(DIPAS)作為有機胺基矽烷前驅物運送至該反應器。以氮電漿用作電漿。以AR=13:1(寬度=80nm,深度=1050nm)的圖案晶圓試片用於測試步階覆蓋率。在此實驗中,一個超循環的組成為:氮化鋁使步驟b至e重複進行一次,之後接著步驟f至i重複10次(亦即超循環=(氮化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s)重複10週期)。該超循環重複進行50次(亦即(氮化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+(氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複10週期)x 50週期)。TEM測量結果顯示下列厚度:在頂部的鋁摻雜氮化矽=175Å,相當於假定36Å氮化鋁的話約0.28Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間56%及底部67%。亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間68%及底部82%;這證實引進該金屬前驅物將進一步增進該步階覆蓋率。已沉積的鋁摻雜氮化矽的二次離子質譜儀(SIMS)測量結果顯示Si,31原子%;Al,8.5原子%;N,47原子%;C,2原子%;O,1.7原子%,及H,9.5原子%。
比較例4a. 利用叁(二甲基胺基)鋁(TDMAA)及氮電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。叁(二甲基胺基)鋁(TDMAA)係作為金屬前驅物。該ALD循環係利用下列製程參數來進行。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
N2流的總流量:1000sccm
TDMAA脈衝:2秒
c.洗淨
N2總流量:1000sccm
洗淨時間:10秒
d.引進電漿
N2流的總流量:1000sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
N2總流量:1000sccm
洗淨時間:10秒
步驟b至e分別重複進行100週期、200週期及 300週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計算得到0.60Å/週期。
實施例4. 利用叁(二甲基胺基)鋁(TDMAA)和二異丙基胺基矽烷(DIPAS)及氮電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將叁(二甲基胺基)鋁(TDMAA)作為金屬前驅物及二異丙基胺基矽烷(DIPAS)作為有機胺基矽烷前驅物運送至該反應器。氮電漿係用於電漿。AR=12:1(寬度=84nm,深度=1030nm)的圖案晶圓試片係用於測試步階覆蓋率。在此實驗中,一個超循環的組成為:氮化鋁使步驟b至e重複進行一次,之後接著步驟f至i重複10次(亦即超循環=(氮化鋁:(TDMAA/洗淨/電漿/洗淨=2s/10s/5*s/10s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複10週期)。該超循環重複進行100次(亦即(氮化鋁:(TMA/洗淨/電漿/洗淨=2s/10s/5*s/10s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複10週期))x 100週期。現在參照圖1,TEM測量結果(圖1)顯示下列厚度:在頂部的鋁摻雜氮化矽=320Å,相當於假定60Å氮化鋁的話約0.26Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間69%及底部78%也證實引進金屬前驅物將進一步增進該步階覆蓋率。
比較例5a. 利用叁(二甲基胺基)鋁(TDMAA)及氨電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。叁(二甲基胺基)鋁(TDMAA)係作為金屬前驅物。氨電漿係用作電漿來源。該ALD循環係利用下列製程參數來進行。
a.準備反應器並且載入晶圓
艙壓:2托耳
b.將金屬前驅物引進該反應器
氬流的總流量:1050sccm
TDMAA脈衝:2秒
c.洗淨
氬流的總流量:1000sccm
洗淨時間:20秒
d.引進電漿
氫流的總流量:1000sccm
Flow of氨:300sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
氬流的總流量:1000sccm
洗淨時間:20秒
步驟b至e分別重複進行100週期、200週期及 300週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計 算得到0.74Å/週期。
比較例5b. 利用二異丙基胺基矽烷(DIPAS)及氨電漿來進行氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。二異丙基胺基矽烷(DIPAS)係作為有機胺基矽烷前驅物而且氨電漿係係用作電漿來源。該循環的組成為:DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/15s重複進行500週期以提供23Å,相當於約0.046Å/週期的氮化矽生長速率。
實施例5. 利用叁(二甲基胺基)鋁(TDMAA)、二異丙基胺基矽烷(DIPAS)及氨電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將叁(二甲基胺基)鋁(TDMAA)作為金屬前驅物及二異丙基胺基矽烷(DIPAS)作為有機胺基矽烷前驅物運送至該反應器。氨電漿係用於電漿。AR=14:1(寬度=78nm,深度=1020nm)的圖案晶圓試片係用於測試步階覆蓋率。在此實驗中,一個超循環的組成為:氮化鋁使表1中的步驟b至e重複進行一次,之後接著表1中的步驟f至i重複10次(亦即超循環=(氮化鋁:(TDMAA/洗淨/電漿/洗淨=2s/20s/5*s/20s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/15s重複30週期)。該超循環重複進行100次(亦即(氮化鋁:(TDMAA/洗淨/電漿/洗淨=2s/20s/5*s/20s)x 1週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/15s重複30週期))x 50週期。TEM測量結果顯示下列厚度:在頂部的鋁摻雜氮化矽=160Å,相當於假定38Å氮化鋁的話約0.08Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間69%及底部69%也顯實引進鋁前驅物將進一步增進該步階覆蓋率。已沉積的鋁摻雜氮化矽的二次離子質譜儀(SIMS)測量結果顯示Si,21.2原子%;Al,2.6原子%;N,42原子%;C,0.01原子%;O,5.5原子%;H,18.6原子%。
比較例6a. 利用雙(第三丁基胺基)矽烷(BTBAS)及氮電漿來進行氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。雙(第三丁基胺基)矽烷(BTBAS)係作為有機胺基矽烷前驅物。氨電漿係用作電漿來源。該循環的組成為:BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s分別重複進行500、1000及1500週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計算得到0.28Å/週期。
實施例6. 利用三甲基鋁(TMA)、雙(第三丁基胺基)矽烷(BTBAS)及氮電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將三甲基鋁(TMA)作為金屬前驅物及雙(第三丁基胺基)矽烷(BTBAS)作為有機胺基矽烷前驅物運送至該反應器。AR=14:1(寬度=74nm,深度=1006nm)的圖案晶圓試片係用於測試步階覆蓋率。在此實驗中,一個超循環的組成為:氮化鋁使表1中的步驟b至e重複進行1次,緊接著表1中的步驟f至i重複10次(亦即超循環=(氮化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複10週期)。該超循環重複進行90次(亦即(氮化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複10週期))x 70週期。現在參照圖2,TEM測量結果(圖2)顯示下列厚度:在頂部的鋁摻雜氮化矽=320Å,相當於假定50Å氮化鋁的話約0.39Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間81%及底部94%也顯實引進TMA將進一步增進該步階覆蓋率。已沉積的鋁摻雜氮化矽的二次離子質譜儀(SIMS)測量結果顯示Si,33.3原子%;Al,7.1原子%;N,45.2原子%;C,2.4原子%;O,0.7原子%;H,11.3原子%。
比較例7a. 利用三甲基鋁(TMA)及氨電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。三甲基鋁(TMA)係用作金屬前驅物而且氨電漿係用作電漿來源。該ALD循環係利用下列製程參數來進行。
a.準備反應器並且載入晶圓
a.艙壓:2托耳
b.將金屬前驅物引進該反應器
氬流的總流量:1000sccm
TMA脈衝:0.5秒
c.洗淨
氬流的總流量:1000sccm
洗淨時間:10秒
d.引進電漿
a.氬流的總流量:1000sccm
氨的流量:500sccm
電漿功率:125W
電漿脈衝:5秒
e.洗淨
氬的總流量:1000sccm
洗淨時間:10秒
步驟b至e分別重複進行200週期及300週期。氮化鋁的生長速率係由該厚度對比週期數的圖形計算得到1.21Å/週期。
比較例7b. 利用雙(第三丁基胺基矽烷(BTBAS)及氨電漿來進行氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。雙(第三丁基胺基矽烷(BTBAS)係用作有機胺基矽烷前驅物而且氨電漿係用作電漿來源。該循環的組成為:BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/15s分別重複進行500、1000及1500週期。氮化矽的生長速率係由該厚度對比週期數的圖形計算得到0.045Å/週期。
實施例7. 利用三甲基鋁(TMA)、雙(第三丁基胺基)矽烷(BTBAS)及氨電漿來進行鋁摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將三甲基鋁(TMA)作為金屬前驅物及雙(第三丁基胺基)矽烷(BTBAS)作為有機胺基矽烷前驅物運送至該反應器。以氨電漿用作電漿。AR=14:1(寬度=74nm,深度=1006nm)的圖案晶圓試片係用於測試步階覆蓋率。在此實驗中,一個超循環的組成為:氮化鋁使表1中的步驟b至e重複進行一次,之後接著表1中的步驟f至i重複10次(亦即超循環=(氮 化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期)。該超循環重複進行45次(亦即(氮化鋁:(TMA/洗淨/電漿/洗淨=0.5s/10s/5*s/10s)x 1週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期))x 45週期。TEM測量結果顯示下列厚度:在頂部的鋁摻雜氮化矽=160Å,相當於假定55Å氮化鋁的話約0.12Å/週期的氮化矽生長速率,其證實藉由氮化鋁和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鋁層將提升氮化矽的沉積。該步階覆蓋率:中間88%及底部91%也顯實引進TMA將進一步增進該步階覆蓋率。
比較例8a. 利用二異丙基胺基二矽烷(DIPADS)及氮電漿來進行氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。二異丙基胺基二矽烷(DIPADS)係用作矽前驅物而且氮電漿用作電漿。該ALD循環如下:DIPADS/洗淨/電漿/洗淨=1.0s/10s/15*s/5s。該循環分別重複進行250週期、500週期及750週期。氮化矽的生長速率係由該厚度對比週期數的圖形計算得到0.38Å/週期。
比較例8b. 利用三甲基鎵(TMGa)及氮電漿來進行氮化鎵膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。三甲基鎵係用作鎵前驅物而且以氮電漿用作電漿。該ALD循環如下:TMGa/洗淨/電漿/洗淨=0.5s/10s/15*s/5s。該循環分別重複進行100週期、200週期及400週期。該氮化鎵的生長速率係由該厚度對比週期數的圖形計算得到0.42Å/週期。
實施例8. 利用三甲基鎵(TMGa)、二異丙基胺基二矽烷(DIPADS)及氮電漿來進行鎵摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。利用蒸氣牽引法將三甲基鎵(TMGa)作為金屬前驅物及二異丙基胺基二矽烷(DIPADS)作為有機胺基矽烷前驅物運送至該反應器。在此實驗中,一個超循環的組成為:氮化鎵使表1中的步驟b至e重複進行一次,之後接著表1中的步驟f至i重複20次(亦即超循環=(氮化鎵:(TMGa/洗淨/電漿/洗淨=0.5s/10s/15*s/5s)x 1週期+氮化矽:(DIPADS/洗淨/電漿/洗淨=1.0s/10s/15*s/5s重複20週期)。該超循環重複進行25次(亦即(氮化鎵:(TMGa/洗淨/電漿/洗淨=0.5s/10s/15*s/5s)x 1週期+氮化矽:(DIPADS/洗淨/電漿/洗淨=1.0s/10s/15*s/5s重複20週期))x 25週期。TEM測量結果顯示下列厚度:鎵摻雜氮化矽=250Å,相當於假定有11Å氮化鎵的話約0.48Å/週期的氮化矽生長速率(與僅使用DIPADS的PEALD氮化矽相比提高約28%),其證實藉由氮化鎵和氮化矽交迭沉積顯著改 善氮化矽的生長速率,亦即已沉積的氮化鎵層將提升氮化矽的沉積。在另一實驗中,一個超循環的組成為:氮化鎵使表1中的步驟b至e重複進行一次,之後接著表1中的步驟f至i重複5次(亦即超循環=(氮化鎵:(TMGa/洗淨/電漿/洗淨=0.5s/10s/15*s/5s)x 1週期+氮化矽:(DIPADS/洗淨/電漿/洗淨=1.0s/10s/15*s/5s重複5週期)。該超循環重複進行100次(亦即(氮化鎵:(TMGa/洗淨/電漿/洗淨=0.5s/10s/15*s/5s)x 1週期+氮化矽:(DIPADS/洗淨/電漿/洗淨=1.0s/10s/15*s/5s重複5週期))x 100週期。TEM測量結果顯示下列厚度:鎵摻雜氮化矽=320Å,相當於假定有42Å氮化鎵的話約0.56Å/週期的氮化矽生長速率(與僅使用DIPADS的PEALD氮化矽相比提高約47%),其證實藉由氮化鎵和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鎵層將顯著推升氮化矽的沉積。
比較例9a. 利用肆(二甲基胺基)鈦(TDMAT)及氮電漿來進行氮化鈦的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。TDMAT係用作鈦前驅物及氮電漿係用作電漿來源。該ALD循環如下:TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s。該循環分別重複進行50週期、100週期及200週期。氮化鈦的生長速率係由該厚度對比週期數的圖形計算得到1.11Å/週期。
實施例9. 利用肆(二甲基胺基)鈦(TDMAT)、二異丙基胺基矽烷(DIPAS)及氮電漿來進行鈦摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。分別利用氬起泡(Ar流速=50sccm)及蒸氣牽引法將肆(二甲基胺基)鈦(TDMAT)作為金屬前驅物及二異丙基胺基矽烷(DIPAS)作為有機胺基矽烷前驅物運送至該反應器。在此實驗中,一個超循環的組成為:氮化鈦使表1中的步驟b至e重複進行兩次,緊接著表1中的步驟f至i重複20次(亦即超循環=(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 2週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期)。該超循環重複進行40次(亦即(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 2週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期))x 40週期。TEM測量結果顯示下列厚度:鈦摻雜氮化矽=230Å,相當於根據XRF測得的36Å氮化鈦約0.24Å/週期的氮化矽生長速率,其證實藉由氮化鈦和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鈦層將提升氮化矽的沉積。在另一實驗中,一個超循環的組成為:氮化鈦使表1中的步驟b至e重複進行3次,緊接著表1中的步驟f至i重複20次(亦即超循環=(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 3週期+氮化矽:(DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期)。該超循環重複進行40次(亦即(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 3週期+氮化矽: (DIPAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期))x 40週期。TEM測量結果顯示下列厚度:鈦摻雜氮化矽=285Å,相當於根據XRF測得的65Å氮化鈦約0.28Å/週期的氮化矽生長速率(與僅使用DIPAS的PEALD氮化矽相比提高約26%),其證實藉由氮化鈦和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鈦層顯著推升氮化矽的沉積。
實施例10. 利用肆(二甲基胺基)鈦(TDMAT)、雙(第三丁基胺基)矽烷(BTBAS)及氮電漿來進行鈦摻雜氮化矽膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。分別利用氬起泡(Ar流速=50sccm)及蒸氣牽引法將肆(二甲基胺基)鈦(TDMAT)作為金屬前驅物及雙(第三丁基胺基)矽烷(BTBAS)作為有機胺基矽烷前驅物運送至該反應器。在此實驗中,一個超循環的組成為:氮化鈦使表1中的步驟b至e重複進行兩次,緊接著表1中的步驟f至i重複20次(亦即超循環=(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 2週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期)。該超循環重複進行40次(亦即(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 2週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期))x 40週期。TEM測量結果顯示下列厚度:鈦摻雜氮化矽=265Å,相當於根據XRF測得的35Å氮化鈦約0.29Å/週期的氮化矽生長速率(與僅使用BTBAS的PEALD氮化矽相比提高約 26%),其證實藉由氮化鈦和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鈦層將提升氮化矽的沉積。在另一實驗中,一個超循環的組成為:氮化鈦使表1中的步驟b至e重複進行3次,緊接著表1中的步驟f至i重複20次(亦即超循環=(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 3週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期)。該超循環重複進行40次(亦即(氮化鈦:(TDMAT/洗淨/電漿/洗淨=1s/20s/5*s/10s)x 3週期+氮化矽:(BTBAS/洗淨/電漿/洗淨=1.0s/10s/10*s/10s重複20週期))x 40週期。TEM測量結果顯示下列厚度:鈦摻雜氮化矽=305Å,相當於根據XRF測得的62Å氮化鈦約0.30Å/週期的氮化矽生長速率(與僅使用BTBAS的PEALD氮化矽相比提高約30%),其證實藉由氮化鈦和氮化矽交迭沉積顯著改善氮化矽的生長速率,亦即已沉積的氮化鈦層將顯著提升氮化矽的沉積。
儘管本發明已經參照特定態樣或具體實施例描述過,咸了解熟悉此技藝者皆可完成不同變化而且等效物可替換其構成部分而不會悖離本發明的範疇。除此之外,可使特定情況或材料順應本發明的教導以完成許多修飾而不會悖離其基本範疇。因此,咸認為本發明不限於特定具體實施例,而是本發明能將所有落在後附申請專利範圍以內的具體實施例皆包括在內。

Claims (18)

  1. 一種以氣相沉積方法沉積鋁或鎵摻雜氮化矽膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.在足以使一金屬前驅物與該基材交互作用的製程條件之下將該金屬前驅物引進該反應器,該金屬前驅物係選自由AlCl3、氯化甲基鋁、三甲基鋁(TMA)、三乙基鋁、叁(二甲基胺基)鋁(TDMAA)、叁(二甲基胺基)鋁(TDMAA)、叁(二乙基胺基)鋁(TDEAA)、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(二乙基胺基)鎵、叁(乙基甲基胺基)鎵及其他揮發性鋁或鎵前驅物所組成的群組;c.洗淨以移除未反應的金屬前驅物;d.將含料源的電漿引進該反應器以與該基材的至少一部分交互作用而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以洗淨氣體洗淨該反應器;f.將下式I至IV所示的有機胺基矽烷前驅物引進該反應器: R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2和R3係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基,其中該有機胺基矽烷前驅物與該基材表面的至少一部分交互作用;g.以洗淨氣體洗淨該反應器;h.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至i係重複進行至獲得期望厚度的膜為止。
  2. 如申請專利範圍第1項之方法,其中該至少一有機胺基矽烷前驅物係選自由以下所組成的群組中之至少一組分:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、 2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-矽烷基十氫喹喏啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-矽烷基甲基胺基)吡啶、N-第三丁基二矽氮烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基苯基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基苯基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙二胺基)二矽烷、雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷和雙(環己基胺基)二甲基矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、雙(第三丁基胺基)矽烷、叁(二甲基胺基)矽烷、叁(異丙基胺基)矽烷、三矽烷基胺及三矽烷基胺衍生物。
  3. 如申請專利範圍第1項之方法,其中該含料源的電漿係選自由氮和氬電漿、氮和氦電漿、氨電漿、氮和氨電漿、氮和 氦電漿、氨和氬電漿、含NF3的電漿、含有機胺的電漿及其混合物所組成的群組中之至少一組分。
  4. 如申請專利範圍第1項之方法,其中該膜具有2.0g/cc或更高的密度。
  5. 如申請專利範圍第1項之方法,其中該方法係氣相沉積製程而且係選自由選自電漿強化化學氣相沉積及電漿強化循環式化學氣相沉積中之至少一者所組成的群組。
  6. 如申請專利範圍第1項之方法,其中該方法係於約500℃或更低的一或更多溫度下進行。
  7. 如申請專利範圍第1項之方法,其中該方法係於約400℃或更低的一或更多溫度下進行。
  8. 如申請專利範圍第1項之方法,其中該方法係於約300℃或更低的一或更多溫度下進行。
  9. 一種形成鋁摻雜氮化矽或鋁摻雜碳氮化矽或鎵摻雜氮化矽或鎵摻雜碳氮化矽膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將至少一鋁前驅物引進該反應器,該鋁前驅物係選自由AlCl3、三甲基鋁(TMA)、三乙基鋁、氯化甲基鋁、叁(二甲基 胺基)鋁(TDMAA)、叁(二甲基胺基)鋁(TDMAA)、叁(二乙基胺基)鋁(TDEAA)、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(二乙基胺基)鎵、叁(乙基甲基胺基)鎵及其他揮發性鋁或鎵前驅物所組成的群組;c.洗淨以移除未反應的鋁前驅物;d.將下式I至IV所示的有機胺基矽烷前驅物引進該反應器: R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2和R3係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性 或分支C2至C10烯基、線性或分支C2至C10炔基,其中該有機胺基矽烷前驅物於該基材表面的至少一部分上反應以提供一化學吸附層;e.以洗淨氣體洗淨該反應器;f.將含料源的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及g.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至i係重複進行至獲得期望厚度的膜為止。
  10. 如申請專利範圍第9項之方法,其中該至少一有機胺基矽烷前驅物係選自由以下所組成的群組:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-矽烷基十氫喹喏啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-矽烷基甲基胺基)吡啶、N-第三丁基二矽氮烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基苯基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基苯基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、 1,1-(N,N’-二第三丁基伸乙二胺基)二矽烷、雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷和雙(環己基胺基)二甲基矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、雙(第三丁基胺基)矽烷、叁(二甲基胺基)矽烷、叁(異丙基胺基)矽烷和三矽烷基胺。
  11. 一種藉由熱原子層沉積於低於500℃的溫度下沉積保形的金屬摻雜氮化矽介電膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.在足以使一金屬前驅物反應而且提供化學吸附層的製程條件之下將該金屬前驅物引進該反應器;c.洗淨以移除未反應的金屬前驅物;d.將氮來源引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位;e.以洗淨氣體洗淨該反應器;f.將下式I至IV所示的有機胺基矽烷前驅物引進該反應器: R3 xSi(NR1R2)yH4-x-y IV其中R1係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基、C6至C10芳基、C1至C10烷基矽烷基及矽烷基;R2係選自氫、線性C2至C10烷基、分支C3至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、拉電子基及C4至C10芳基;n=1或2;x=0、1、2;y=2、3;而且任意地其中式I、III和IV中的R1和R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;R3係選自線性C1至C10烷基、分支C3至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基,其中該有機胺基矽烷前驅物於該基材表面的至少一部分上反應以提供一化學吸附層;g.以洗淨氣體洗淨該反應器;h.將包含氮的電漿來源引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位;及i.任意地以惰性氣體洗淨該反應器。
  12. 如申請專利範圍第11項之方法,其中該至少一有機胺基矽烷前驅物係選自由以下所組成的群組:二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基矽烷、N-乙基環己基胺基矽烷、N-異丙基環己基胺基矽烷、2-甲基六氫吡啶基矽烷、N-矽烷基十氫喹喏啉、2,2,6,6-四甲基六氫吡啶基矽烷、2-(N-矽烷基甲基胺基)吡啶、N-第三丁基二矽氮烷、N-第三戊基二矽氮烷、N-(3-甲基-2-吡啶基)二矽氮烷、N-(2-甲基苯基)二矽氮烷、N-(2-乙基苯基)二矽氮烷、N-(2,4,6-三甲基苯基)二矽氮烷、N-(2,6-二異丙基苯基)二矽氮烷、二異丙基胺基二矽烷、二異丁基胺基二矽烷、二第二丁基胺基二矽烷、2,6-二甲基六氫吡啶基矽烷、N-甲基環己基胺基二矽烷、N-乙基環己基胺基二矽烷、苯基甲基胺基二矽烷、2-(N-二矽烷基甲基胺基)吡啶、N-苯基乙基二矽烷、N-異丙基環己基胺基二矽烷、1,1-(N,N’-二第三丁基伸乙二胺基)二矽烷、雙(異丙基胺基)甲基矽烷、雙(異丁基胺基)甲基矽烷、雙(第二丁基胺基)甲基矽烷、雙(第三丁基胺基)甲基矽烷、雙(第三戊基胺基)甲基矽烷、雙(環己基胺基)甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(異丁基胺基)二甲基矽烷、雙(第二丁基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、雙(第三戊基胺基)二甲基矽烷和雙(環己基胺基)二甲基矽烷、雙(二甲基胺基)矽烷、雙(二乙基胺基)矽烷、雙(乙基甲基胺基)矽烷、雙(第三丁基胺基)矽烷、叁(二甲基胺基)矽烷、叁(異丙基胺基)矽烷、三矽烷基胺 及三矽烷基胺衍生物。
  13. 如申請專利範圍第11項之方法,其中該至少一金屬前驅物係選自由以下所組成的群組:AlCl3、三甲基鋁(TMA)、氯化甲基鋁、三乙基鋁、叁(二甲基胺基)鋁(TDMAA)、叁(二甲基胺基)鋁(TDMAA)、叁(二乙基胺基)鋁(TDEAA)、氯化鎵、三甲基鎵、三乙基鎵、叁(二甲基胺基)鎵、叁(二乙基胺基)鎵、叁(乙基甲基胺基)鎵和其他揮發性鋁或鎵前驅物、氯化鋯(ZrCl4)、肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、叁(二甲基胺基)(環戊二烯基)鋯、叁(二甲基胺基)(甲基環戊二烯基)鋯、叁(二甲基胺基)(乙基環戊二烯基)鋯、叁(二乙基胺基)(環戊二烯基)鋯、叁(二乙基胺基)(甲基環戊二烯基)鋯、叁(二乙基胺基)(乙基環戊二烯基)鋯、叁(乙基甲基胺基)(環戊二烯基)鋯、叁(乙基甲基胺基)(甲基環戊二烯基)鋯、叁(乙基甲基胺基)(乙基環戊二烯基)鋯、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)、肆(乙基甲基胺基)鉿(TEMAH)、叁(二甲基胺基)(環戊二烯基)鉿、叁(二甲基胺基)(甲基環戊二烯基)鉿、叁(二甲基胺基)(乙基環戊二烯基)鉿、叁(二乙基胺基)(環戊二烯基)鉿、叁(二乙基胺基)(甲基環戊二烯基)鉿、叁(二乙基胺基)(乙基環戊二烯基)鉿、叁(乙基甲基胺基)(環戊二烯基)鉿、叁(乙基甲基胺基)(甲基環戊二烯基)鉿、叁(乙基甲基胺基)(乙基環戊二烯基)鉿、氯化鈦(TiCl4)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦 (TEMAT)、氯化鉭(TaCl5)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、六氯化鎢、五氯化鎢、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。
  14. 如申請專利範圍第11項之方法,其中該氮來源係選自由氨、單烷基肼、二烷基肼、第三丁基胺、甲基胺、乙基胺、伸乙二胺及其混合物所組成的群組。
  15. 一種膜,其係依照申請專利範圍第1項之方法形成。
  16. 一種鋁摻雜氮化矽或鋁摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
  17. 一種鎵摻雜氮化矽或鎵摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、 低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
  18. 一種鈦摻雜氮化矽或鈦摻雜碳氮化矽膜,其中該膜具有下列特徵中的一或多者:2.0克/立方釐米(g/cc)或更高的密度、低於20原子重量百分比%的氫含量、1.80或更高的折射率及其組合。
TW105129400A 2015-09-11 2016-09-09 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜 TWI642803B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562217296P 2015-09-11 2015-09-11
US62/217,296 2015-09-11
US201562237899P 2015-10-06 2015-10-06
US62/237,899 2015-10-06

Publications (2)

Publication Number Publication Date
TW201710538A TW201710538A (zh) 2017-03-16
TWI642803B true TWI642803B (zh) 2018-12-01

Family

ID=56940449

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129400A TWI642803B (zh) 2015-09-11 2016-09-09 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜

Country Status (8)

Country Link
US (2) US11104990B2 (zh)
EP (1) EP3347504B1 (zh)
JP (1) JP6761028B2 (zh)
KR (2) KR102188750B1 (zh)
CN (2) CN108026637A (zh)
IL (1) IL257990B2 (zh)
TW (1) TWI642803B (zh)
WO (1) WO2017044690A1 (zh)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6832785B2 (ja) * 2016-08-08 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法および成膜装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6920082B2 (ja) * 2017-03-17 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180331118A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multi-layer barrier for cmos under array type memory device and method of making thereof
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10879456B2 (en) * 2018-06-27 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer stack for magnetic tunnel junctions
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021050452A1 (en) * 2019-09-11 2021-03-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111162088B (zh) * 2020-01-02 2023-01-24 长江存储科技有限责任公司 含硅衬底中凹槽的制作方法、三维nand存储器及制作方法
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20220159438A (ko) * 2020-03-31 2022-12-02 엔테그리스, 아이엔씨. 규소-함유 필름을 제조하기 위한 전구체 및 방법
JPWO2021200218A1 (zh) 2020-04-01 2021-10-07
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
WO2021241281A1 (ja) * 2020-05-26 2021-12-02 株式会社高純度化学研究所 結晶性の窒化ガリウム薄膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CA3199231A1 (en) * 2020-10-23 2022-04-28 Entegris, Inc. Method for preparing iodosilanes
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024129836A1 (en) * 2022-12-16 2024-06-20 Villanova University Biscationic quaternary phosphonium compounds as soft antimicrobial agents

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201526104A (zh) * 2013-09-30 2015-07-01 Lam Res Corp 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3974003A (en) 1975-08-25 1976-08-10 Ibm Chemical vapor deposition of dielectric films containing Al, N, and Si
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101295031B1 (ko) 2011-04-22 2013-08-09 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭 증착방법
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
TWI563539B (en) 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US20140273516A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Vbd and tddb improvement thru interface engineering
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP2017503079A (ja) 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201526104A (zh) * 2013-09-30 2015-07-01 Lam Res Corp 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充

Also Published As

Publication number Publication date
JP6761028B2 (ja) 2020-09-23
US20180245215A1 (en) 2018-08-30
US11732351B2 (en) 2023-08-22
EP3347504B1 (en) 2024-09-25
CN108026637A (zh) 2018-05-11
WO2017044690A1 (en) 2017-03-16
US11104990B2 (en) 2021-08-31
KR20200137053A (ko) 2020-12-08
JP2018528615A (ja) 2018-09-27
IL257990A (en) 2018-05-31
KR20180053694A (ko) 2018-05-23
IL257990B2 (en) 2023-07-01
KR102188750B1 (ko) 2020-12-08
IL257990B1 (en) 2023-03-01
EP3347504A1 (en) 2018-07-18
US20210388489A1 (en) 2021-12-16
TW201710538A (zh) 2017-03-16
CN117265512A (zh) 2023-12-22
KR102251774B1 (ko) 2021-05-12

Similar Documents

Publication Publication Date Title
TWI642803B (zh) 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜
CN106367730B (zh) 用于沉积第13族金属或类金属氮化物膜的方法
TWI683024B (zh) 用於沉積矽氮化物膜的組合物及含有其之容器
TWI565822B (zh) 沉積氮化矽膜的方法
TWI579399B (zh) 用於含矽膜的沉積的組合物及其方法
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
TWI614261B (zh) 氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
JP6730429B2 (ja) コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法
JP6492178B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
JP6681398B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物