KR20220159438A - 규소-함유 필름을 제조하기 위한 전구체 및 방법 - Google Patents

규소-함유 필름을 제조하기 위한 전구체 및 방법 Download PDF

Info

Publication number
KR20220159438A
KR20220159438A KR1020227037393A KR20227037393A KR20220159438A KR 20220159438 A KR20220159438 A KR 20220159438A KR 1020227037393 A KR1020227037393 A KR 1020227037393A KR 20227037393 A KR20227037393 A KR 20227037393A KR 20220159438 A KR20220159438 A KR 20220159438A
Authority
KR
South Korea
Prior art keywords
compound
formula
silicon
lithium
vapor deposition
Prior art date
Application number
KR1020227037393A
Other languages
English (en)
Inventor
상진 이
다혜 김
성실 조
서봉 장
재언 박
브라이언 씨 헨드릭스
토마스 에이치 바움
수진 이
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20220159438A publication Critical patent/KR20220159438A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

규소-함유 필름, 예컨대 규소, 질화규소, 산질화규소, 이산화규소, 탄화규소, 탄소-도핑된 질화규소, 또는 탄소-도핑된 산질화규소를 포함하는 필름의 침착에 유용한 특정 액체 규소 전구체가 제공된다. 또한 증기 침착 기술을 이용하여 이러한 필름을 형성하는 방법이 제공된다.

Description

규소-함유 필름을 제조하기 위한 전구체 및 방법
일반적으로, 본 발명은 마이크로전자 디바이스 표면 상에 규소-함유 필름을 침착시키기 위한 방법 및 전구체에 관한 것이다.
반도체 제조에서, 화학적 불활성 유전 물질, 예컨대 질화규소 (Si3N4), 산질화규소 (SiOxNy), 탄화규소 (SiC), 탄질화규소 (SiCN) 및 탄산화규소 (SiCO) 및/또는 이산화규소 (SiO2)의 얇은 (예를 들어, < 1000 나노미터 두께) 패시브 층은 측벽 스페이서 요소, 확산 마스크, 산화 장벽, 트렌치 절연 코팅, 금속간 유전 물질, 패시베이션 층, 절연체, 및 에칭-정지 층과 같은 다층 디바이스의 구조 요소로서 기능하기 위해, 마이크로전자 디바이스 구조에 널리 사용된다.
화학 증기 침착 기술에 의한 규소-함유 필름의 침착은 이러한 필름을 형성하는데 매우 매력적인 방법이다. 낮은 침착 온도, 예를 들어, 약 550℃ 미만의 온도를 포함하는 CVD 공정이 특히 요구되지만, 이러한 목적을 위해 적합한 규소 전구체 화합물의 이용가능성도 필요로 한다. 경우에 따라, 집적 회로의 열 예산이 허용될 경우, 더 높은 침착 온도를 고려할 수 있다. 이러한 경우에, 특히 고품질 이산화규소 필름이 요구되는 경우에, 유전체 필름의 원하는 특성을 달성하기 위해 온도 >450℃가 이용될 수 있다. 매우 고품질 필름이 필요하고 높은 열 예산이 허용되는 상황에서, 고온에 안정적인 소스 재료는 높은 종횡비 구조에 비해 균일한 단차 피복성(step coverage)을 제공하는 포화 ALD 펄스를 가능하게 하기 때문에 바람직하다.
질화규소 (SiN)는 그의 높은 습식 에칭 및 O2 애싱 저항으로 인해 FinFET 및 게이트-올-어라운드(gate-all-around) (GAA) 구조를 위한 소스 및 드레인 스페이서 (S/D 스페이서)에 사용되었다. 불행하게도, SiN은 약 7.5의 높은 유전 상수 (k)를 갖는다. 탄소 및 질소 도핑된 SiO2 (SiCON) 스페이서는 유전 상수를 감소시키고 침착-후 처리 동안 우수한 습식 에칭 및 애싱 저항을 유지하기 위해 개발되었다. 현재, 최고의 습식 에칭 및 애싱 저항성 SiCON 유전체는 약 4.0의 k 값을 갖는다. 차세대 디바이스를 위해 < 3.5의 k 값을 갖는 습식 에칭 및 애싱 저항성 유전체가 필요하다.
또한, 마이크로전자 디바이스의 제조에서, 특히 질화규소, 이산화규소, 및 산질화규소 필름의 형성에 이용되는 저온 증기 침착 기술을 이용하는 공정에서 규소-함유 필름의 형성을 위한 개선된 유기규소 전구체 및 방법에 대한 필요성이 남아 있다. 특히, 저장 및 기체-상 수송 동안 양호한 열 안정성, 높은 휘발성, 및 기판 표면과의 반응성을 갖는 액체 규소 전구체에 대한 필요성이 있다.
발명의 요약
본 발명은 일반적으로 반도체 디바이스의 제조에서 규소-함유 필름의 형성, 보다 구체적으로 특정한 경우에 비교적 저온에서, 이러한 규소-함유 필름, 예컨대 규소, 질화규소, 산질화규소, 이산화규소, 탄화규소, 탄소-도핑된 질화규소, 또는 탄소-도핑된 산질화규소 필름을 포함하는 필름을 형성하기 위한 조성물 및 방법에 관한 것이다.
본원에 기술된 바와 같은 화학식 (I)의 화합물은 이러한 다양한 규소-함유 필름의 형성에서 전구체 화합물로서 유용하다. 유리하게, 본 발명의 전구체는 고품질 질화물 필름을 형성하기 위해 저온 증기 침착 조건 하에 사용될 수 있으며, 한편 또한 비교적 고온에서 고품질 및 고성장 속도의 이산화규소 필름을 형성하는데 사용할 수 있다. 따라서 이러한 다용도는 화학식 (I)의 전구체의 가요성을 나타낸다. 한 실시양태에서, 화학식 (I)의 화합물, 예컨대 비스(디메틸실릴) 디메틸 히드라진은 통상적인 규소 전구체와 비교했을 때 더 높은 침착 속도의 이산화규소 필름을 또한 제공할 수 있다. 유사하게, 화학식 (I)의 화합물은 더 높은 성장 속도에서 질화규소 필름을 제조하는데 이용될 수 있다. (하기 도 5 참조.) SiN 및 SiCN의 필름은 N2, NH3 공-반응물의 존재하에 유사한 조건에서 침착되었다. 화학 증기 침착 (CVD), 원자 층 침착 (ALD), 플라즈마-강화 ALD (PEALD), 플라즈마 강화 순환 화학 증기 침착 (PECCVD), 유동성 화학 증기 침착 (FCVD), 플라즈마-강화 ALD-유사 공정, 또는 산소-함유 반응물, 질소-함유 반응물 또는 그의 조합을 사용한 ALD 공정과 같은 공정을 포함하는, 증기 침착 조건 및 공정은 규소-함유 필름을 형성하는데 이러한 전구체 화합물과 함께 이용될 수 있다.
도 1은 비스(디메틸실릴) 디메틸 히드라진 (각각의 R1이 메틸인 하기 화학식 (I)), 및 산화 공-반응물 가스로서 오존을 사용하여, 세 가지 온도, 500℃, 550℃, 및 600℃에서 수행된, 사이클당 옹스트롬 단위의 SiO2 성장 속도를 초 단위의 펄스 시간에 대해 나타낸 것이다. ALD 포화 곡선은 기판 온도 <600℃에 대해 관찰된 것이며, 이는 더 낮은 온도에서 포화 ALD 거동을 나타낸다. >2.5 Å/사이클의 높은 SiO2 성장 속도는 550℃에서 기록되었다.
도 2는 도 1에 기술된 유사한 공정에 의해 침착된 순수한 SiO2 필름에 대해, 초 단위의 에칭 시간 (깊이 프로파일링)의 함수로서 x-선 광전자 분광법 (XPS)에 의해 측정된 원자 백분율의 그래프이다. 필름 상의 환경 캡 층의 제거 후 N 또는 C는 관찰되지 않았다. Si:O 함량의 상대적 비는 SiO2 필름의 조성을 반영한다.
도 3은 18:1 종횡비를 갖는 SiN/SiO2/Si 트렌치 구조 상에, 도 1에 기술된 전구체 화학 및 공정에 의해 달성된 > 92% 컨포멀 단차 피복성을 갖는 SiO2 필름의 침착을 보여준다.
도 4는 다양한 기판 온도에서 비스(디메틸실릴) 디메틸 히드라진 (BDMSDMH)으로부터 침착된 필름과 비스(t-부틸아미노)실란 (BTBAS)으로부터 침착된 필름에 대한 습식 에칭 속도를 비교한 것이다. 습식 에칭은 200:1 수성 HF 용액으로 수행하였다. 이 경우 습식 에칭 속도는 BTBAS로부터의 필름과 비교했을 때 비스(디메틸실릴) 디메틸 히드라진 전구체로부터 침착된 필름에 대해 대략 >60% 개선을 나타낸다. 습식 에칭 속도는 또한 열 산화물 (SiO2)에 대한 습식 에칭 속도와 비교되어 있다.
도 5는 250℃에서 전구체로서 비스(디메틸실릴) 디메틸 히드라진을 사용하는 질화규소 침착 공정에 대한 N2 플라즈마 노출, 및 200 와트 플라즈마 전력에서 300 sccm의 질소 유량의 영향 하에 규소 펄스 시간의 함수로서 사이클당 성장 속도를 보여준다. 이용된 사이클 시퀀스는 (i) x 축 상에 표시된 펄스 길이를 갖는 전구체, (ii) 20초 동안의 퍼지, (iii) 15초 (사각형) 또는 20초 (원) 동안 질소 플라즈마에 이어, (iv) 20초 동안의 퍼지였다.
도 6은 15초의 플라즈마 노출 시간과 도 5에 기술된 것과 유사한 반응기 및 플라즈마 침착 조건 하에, 전구체로서 비스(디메틸실릴) 디메틸 히드라진의 상이한 펄스 시간을 사용한 경우 사이클당 성장 속도에 미치는 질소 플라즈마 전력 (200 와트 또는 300 와트)의 영향을 보여준다.
발명의 상세한 설명
본 명세서 및 첨부된 청구범위에 사용된 바와 같이, 단수 형태는 내용에서 달리 명확하게 지시하지 않는 한 복수의 지시대상을 포함한다. 본 명세서 및 첨부된 청구범위에 사용된 바와 같이, 용어 "또는"은 일반적으로 내용에서 달리 명확하게 지시하지 않는 한 "및/또는"을 포함하는 그 의미로 사용된다.
용어 "약"은 일반적으로 인용된 값 (예를 들어, 동일한 기능 또는 결과를 갖는)과 동등한 것으로 간주되는 숫자의 범위를 나타낸다. 많은 경우에, 용어 "약"은 가장 가까운 유효 숫자로 반올림된 숫자를 포함할 수 있다.
끝점을 사용하여 표현된 숫자 범위는 그 범위 내에 포함된 모든 숫자를 포함한다 (예를 들어 1 내지 5는 1, 1.5, 2, 2.75, 3, 3.80, 4 및 5를 포함한다).
제1 측면에서, 본 발명은 하기 화학식 (I)의 화합물을 제공한다:
Figure pct00001
여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택된다. 한 실시양태에서, 각각의 R1은 메틸이다.
화학식 (I)의 화합물은 마이크로전자 디바이스의 표면 상의 규소-함유 필름의 침착을 위한 전구체로서 유용하다. 특정 실시양태에서, 필름은 또한 질소 및/또는 산소 및/또는 탄소를 함유한다.
따라서, 제2 측면에서, 본 발명은 마이크로전자 디바이스의 표면 상에 규소-함유 필름을 침착시키는 방법으로서, 반응 챔버에서 증기 침착 조건 하에 상기 표면에 화학식 (I)의 적어도 1종의 화합물을 도입시키는 것을 포함하는 방법을 제공한다.
화학식 (I)의 화합물은 하기 반응식에 따라 제조될 수 있다:
단계 1:
Figure pct00002
단계 1에서, 클로로실란을 디메틸히드라진과 반응시켜 실릴 히드라지도 중간체 및 히드라진 히드로클로라이드 부산물을 제공한다.
단계 2:
Figure pct00003
상기 단계 2에 나타낸 바와 같이, 히드라지도 디메틸실란 (또는 일부 다른 히드라지도 (R1)2--실란)을 n-부틸 리튬 또는 일부 다른 반응성 알칼리 금속 C1-C6 알칸 (임의로 질소 원자를 함유함)과 반응시킬 수 있다. 하기 나타낸 바와 같은 신규한 중간체 (II)를 제공하기 위해 다른 잠재적인 반응물에는 메틸 리튬, t-부틸 리튬, 리튬 디이소프로필아미드, 메틸 포타슘, n-부틸 포타슘 등이 포함된다:
Figure pct00004
여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택되고, R2는 리튬 또는 칼륨으로부터 선택되며, 이것은 결과적으로 화학식 (I)의 화합물의 합성에 유용하다. 이어서, 화학식 (II)의 화합물을 이 반응식에서, 예를 들어, 클로로 디메틸실란과 반응시킨다. 따라서, 본 발명의 제3 측면에서, 상기 설명된 바와 같은 화학식 (II)의 화합물이 제공된다.
본 발명의 제4 측면에서, 하기 화학식 (I)의 화합물을 제조하는 방법이 이와 같이 제공되며:
Figure pct00005
여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할라이드 원자로부터 선택되고; 상기 방법은
A. 하기 화학식의 화합물
Figure pct00006
을 임의로 질소 원자를 함유하는, 화학식 M-R3 (여기서 M은 리튬 또는 칼륨이고 R3은 C1-C6 알킬 기임)의 화합물과 접촉시켜, 하기 화학식 (II)의 화합물을 제공하는 단계:
Figure pct00007
(여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할라이드 원자로부터 선택되고, R2는 리튬 또는 칼륨으로부터 선택됨); 이어서
B. 화학식 (II)의 화합물을 하기 화학식의 화합물과 반응시키는 단계
Figure pct00008
(여기서 X는 할로겐임)
를 포함한다.
본원에서 사용된 바와 같이, 용어 "규소-함유 필름"은 이산화규소, 질화규소, 산질화규소, 탄화규소, 탄질화규소, 산탄질화규소, 저-k 얇은 규소-함유 필름과 같은 필름을 지칭한다.
특정 실시양태에서, 증기 침착 조건은 화학 증기 침착, 펄스형-화학 증기 침착, 및 원자 층 침착으로 알려진 반응 조건을 포함한다. 펄스형-화학 증기 침착의 경우, 중간 (불활성 기체) 퍼지 단계가 있거나 또는 없이, 전구체 화합물 및 공-반응물(들)의 일련의 교번 펄스를 이용하여 원하는 끝점까지 필름 두께를 구축할 수 있다.
화학식 (I)의 화합물은 규소-함유 필름의 저온 CVD 및/또는 ALD 형성이 가능하다. 이러한 화합물은 높은 휘발성 및 화학 반응성을 나타내지만, 전구체의 휘발 또는 기화와 관련된 온도에서 열 분해에 대해 안정적이어서, 생성된 전구체 증기의 침착 구역 또는 반응 챔버로의 일관되고 반복가능한 수송을 허용한다.
특정 실시양태에서, 상기 나타낸 전구체 화합물에 대한 펄스 시간 (즉, 기판에 대한 전구체 노출의 지속시간)은 약 0.1 내지 30초의 범위이다. 다른 실시양태에서, 공-반응물에 대한 펄스 시간은 약 0.1 내지 약 30초의 범위이다.
한 실시양태에서, 증기 침착 조건은 약 50℃ 내지 약 750℃의 온도를 포함한다. 또 다른 실시양태에서, 증기 침착 조건은 약 200℃ 내지 약 650℃의 온도를 포함한다. 또 다른 실시양태에서, 증기 침착은 약 500℃ 내지 550℃의 온도를 포함한다.
한 실시양태에서, 증기압 조건은 약 0.5 내지 약 1000 Torr의 압력을 포함한다.
상기 화합물은 임의의 적합한 증기 침착 기술, 예컨대 CVD, 디지털 (펄스형) CVD, ALD, 및 펄스형 플라즈마 공정 (PEALD)에 의해 고순도 얇은 규소-함유 필름을 형성하는데 사용될 수 있다. 이러한 증기 침착 공정은 약 20 옹스트롬 내지 약 2000 옹스트롬의 두께를 갖는 필름을 형성하기 위해 약 250℃ 내지 약 550℃의 침착 온도를 이용함으로써 마이크로전자 디바이스 상에 규소-함유 필름을 형성하는데 이용될 수 있다.
본 발명의 방법에서, 상기 화합물은 임의의 적합한 방식으로, 예를 들어, 단일 웨이퍼 CVD, ALD 및/또는 PECVD 또는 PEALD 챔버에서, 또는 다수의 웨이퍼를 함유하는 퍼니스에서 원하는 마이크로전자 디바이스 기판과 반응할 수 있다.
대안적으로, 본 발명의 방법은 ALD 또는 ALD-유사 공정으로서 수행될 수 있다. 본원에서 사용된 바와 같이, 용어 "ALD 또는 ALD-유사"는 (i) 화학식 (I)의 규소 전구체 화합물 및 산화 및/또는 환원 가스를 포함하는 각각의 반응물이 단일 웨이퍼 ALD 반응기, 반-배치식 ALD 반응기, 또는 배치 퍼니스 ALD 반응기와 같은 반응기에 순차적으로 도입되거나, 또는 (ii) 화학식 (I)의 규소 전구체 화합물 및 산화 및/또는 환원 가스를 포함하는 각각의 반응물이 기판을 반응기의 상이한 섹션으로 이동시키거나 또는 회전시킴으로써 기판 또는 마이크로전자 디바이스 표면에 순차적으로 노출되고 각각의 섹션이 불활성 기체 커튼에 의해 분리되는 것, 즉, 공간 ALD 반응기 또는 롤 투 롤(roll to roll) ALD 반응기와 같은 공정을 지칭한다.
한 측면에서, 본 발명은 수소 플라즈마 또는 질소 플라즈마와 함께, 본원에 기술된 바와 같은 화학식 (I)의 전구체를 사용하여 낮은 습식 에칭 속도로 침착시키기 위한 플라즈마 강화 원자 층 침착 (PEALD) 공정에 관한 것이다. 질소 플라즈마는 화학식 (I)의 전구체 화합물과 함께 사용되는 질화규소 필름의 형성에 유용하다.
따라서, 또 다른 실시양태에서, 상기 증기 침착 공정은 필름을 환원 가스에 노출시키는 것을 수반하는 단계를 추가로 포함할 수 있다. 본 발명의 특정 실시양태에서, 환원 가스는 H2, 히드라진 (N2H4), 메틸 히드라진, t-부틸 히드라진, 1,1-디메틸히드라진, 1,2-디메틸히드라진, 알킬아민, 피리딘, 및 NH3으로부터 선택된 가스로 구성된다.
또 다른 실시양태에서, 증기 침착 공정은 전구체를 O2, O3, N2O, 수증기, 알콜, 또는 산소 플라즈마와 같은 산화 가스에 노출시켜 이산화규소 필름을 형성하는 것을 수반하는 단계를 추가로 포함할 수 있다. R1이 할로인 그러한 경우, 그러면 물 및 알콜과 같은 산화제는 피리딘, 알킬 아민, N, N'-디메틸포름아미드, 및 암모니아와 같은 염기와 함께 사용할 수 있다. 특정 실시양태에서, 산화 가스는 아르곤, 헬륨, 질소, 또는 그의 조합과 같은 불활성 기체를 추가로 포함한다. 또 다른 실시양태에서, 산화 가스는 플라즈마 조건 하에 화학식 (I)의 전구체와 반응하여 산질화규소 필름을 형성할 수 있는 질소, 아산화질소 또는 암모니아를 추가로 포함한다.
일반적으로, 화학식 (I)의 전구체 화합물을 사용하여 생성된 원하는 필름은 환원 또는 산화 공-반응물의 이용과 결합된, 각각의 화합물 및 반응 조건의 선택에 의해 조정될 수 있다. 예를 들어, 하기 반응식 1을 참조한다:
Figure pct00009
반응식 1
비스(디메틸실릴) 디메틸 히드라진 (각각의 R1이 메틸인 경우 화학식 (I))의 경우, 생성된 SiO2 필름은 450℃에서 1.3Å/사이클의 성장 속도를 나타냈고 산소 플라즈마 공정에서 매우 빠른 표면 포화를 나타냈다.
한 실시양태에서, 오존 (O3)과 같은 산화 가스를 증기 침착 공정에 이용하여 신속-성장 이산화규소 필름을 제공한다. 한 실시양태에서, 온도는 약 500℃ 내지 600℃이다. 오존 공정의 경우, 1.9 내지 약 5.8Å/사이클의 성장 속도가 관찰되었다. 또한, 생성된 SiO2 필름의 습식 에칭 속도는 규소 전구체로서 BTBAS (비스(t-부틸아미노)실란)을 이용한 비교 필름에 비해 개선을 나타냈다.
이산화규소 필름의 경우, 전형적인 펄싱 레짐은 다음을 포함한다:
(i) 0.1 내지 30초 동안 화학식 (I)의 전구체의 주입, 이어서
(ii) 1 내지 30초 동안 불활성 기체를 사용한 퍼징, 이어서
(iii) 약 0.1 내지 30초 동안, 50 내지 500 sccm (분당 표준 입방 센티미터)의 유량으로 오존의 주입, 이어서
(iv) 1 내지 30초 동안 불활성 기체를 사용한 퍼징. (1 사이클). 따라서 원하는 두께의 필름을 얻을 때까지 단계 (i) 내지 (iv)를 반복할 수 있다.
따라서, 또 다른 실시양태에서, 본 발명은 이산화규소 필름을 제조하는 방법을 제공하며, 여기서 증기 침착 조건은 약 150℃ 내지 약 650℃의 온도, 및 하기 단계들을 포함하는 펄싱 시퀀스를 포함한다:
(i) 0.1 내지 30초 동안 화학식 (I)의 전구체를 주입하는 단계, 이어서
(ii) 1 내지 30초 동안 불활성 기체를 사용하여 퍼징하는 단계, 이어서
(iii) 약 0.1 내지 30초 동안 50 내지 500 sccm의 유량으로 오존을 주입하는 단계, 이어서
(iv) 1 내지 30초 동안 불활성 기체를 사용하여 퍼징하는 단계, 및
원하는 두께의 필름을 얻을 때까지 단계 (i) 내지 (iv)를 반복하는 단계.
한 실시양태에서, 질소 플라즈마를 증기 침착 공정에 이용하여 질화규소 필름을 제공한다. 한 실시양태에서, 온도는 약 200℃ 내지 300℃이다. 200 와트 내지 300 와트의 전력으로 질소 플라즈마와 함께 이용된, 비스(디메틸실릴) 디메틸 히드라진의 경우, 100 내지 300 sccm의 N2의 유량은 질화규소를 제공하였다.
질화규소 필름의 경우, 전형적인 펄싱 레짐은 다음을 포함한다:
(i) 0.1 내지 30초 동안 화학식 (I)의 전구체의 주입, 이어서
(ii) 1 내지 30초 동안 불활성 기체를 사용한 퍼징, 이어서
(iii) 0.1 내지 30초 동안, 50 내지 500 sccm의 유량으로, 그리고 약 50 와트 내지 1000 와트의 전력으로 N2를 사용한 질소 플라즈마의 주입, 이어서
(iv) 플라즈마 노출 후 불활성 기체를 사용한 1 내지 30초의 임의적인 퍼징. (1 사이클). 따라서 원하는 두께의 필름을 얻을 때까지 단계 (i) 내지 (iv)를 반복할 수 있다.
따라서, 또 다른 실시양태에서, 질화규소 필름의 제조에서, 증기 침착 조건은 약 150℃ 내지 약 300℃의 온도, 약 0.1 내지 약 5 Torr의 압력, 및 하기 단계들을 포함하는 펄싱 시퀀스를 포함한다:
(i) 0.1 내지 30초 동안 화학식 (I)의 전구체를 주입하는 단계, 이어서
(ii) 1 내지 30초 동안 불활성 기체를 사용하여 퍼징하는 단계, 이어서
(iii) 0.1 내지 30초 동안, 50 내지 500 sccm의 유량으로, 그리고 약 50 와트 내지 500 와트의 전력으로 N2를 사용하여 질소 플라즈마를 주입하는 단계, 이어서
(iv) 플라즈마 노출 후 불활성 기체를 사용하여 1 내지 30초 동안 임의로 퍼징하고, 원하는 두께의 필름을 얻을 때까지 단계 (i) 내지 (iv)를 반복하는 단계.
화학식 (I)의 전구체 화합물을 사용하는 동안, 이러한 필름으로의 탄소 및 질소의 혼입은 이러한 화합물의 조성의 자연스러운 결과이며 공정 조건은 이들 원소의 혼입 또는 배제를 선호하도록 조정될 수 있다. 또한 탄소 혼입이 요구되는 경우, 예를 들어 메탄, 에탄, 에틸렌, 또는 아세틸렌 형태의 탄소를 이용하여 탄소 함량을 규소-함유 필름에 추가로 도입시킬 수 있어, 탄화규소, 탄질화규소 또는 탄산화규소를 생성한다.
본원에 개시된 침착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소모되지 않은 반응물 및/또는 반응 부산물을 제거하는데 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 기체이다. 예시적인 퍼지 가스는 아르곤, 질소, 헬륨, 네온, 수소, 및 그의 혼합물을 포함하나, 이에 제한되지는 않는다. 특정 실시양태에서, Ar과 같은 퍼지 가스는 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기에 공급되어, 반응기에 남아 있을 수 있는 미반응 물질 및 임의의 부산물을 퍼징한다. 가스, 예를 들어, 질소는 불활성이며, 플라즈마에 의해 활성화되지 않는 경우 퍼지로서 작용하지만, 플라즈마에 의해 활성화되는 경우 공-반응물인 점에 유의한다.
규소 전구체 화합물, 산화 가스, 환원 가스, 및/또는 다른 전구체, 소스 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 시퀀스를 변경하고/거나 생성된 유전체 필름의 화학량론적 조성을 변경함으로써 수행될 수 있다.
반응을 유도하고 마이크로전자 디바이스 기판 상에 규소-함유 필름을 형성하기 위해 화학식 (I)의 규소 전구체 화합물 및 산화 가스, 환원 가스, 또는 그의 조합 중 적어도 하나에 에너지를 적용한다. 이러한 에너지는 열, 펄스형 열, 플라즈마, 펄스형 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, 전자빔, 광전자, 원격 플라즈마 방법, 및 그의 조합에 의해 제공될 수 있지만, 이에 제한되지는 않는다. 특정 실시양태에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특성을 수정하는데 사용될 수 있다. 다른 실시양태에서, DC 바이어스는 플라즈마와 기판 사이에서 유지될 수 있다. 침착이 플라즈마를 포함하는 실시양태에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 것인 직접 플라즈마-생성 공정, 또는 대안적으로, 반응기 내로 공급되고 있는, 플라즈마가 반응 구역 및 기판의 "원격으로" 생성되는 것인 원격 플라즈마-생성 공정을 포함할 수 있다.
본원에서 사용된 바와 같이, 용어 "마이크로전자 디바이스"는 마이크로전자, 집적 회로, 또는 컴퓨터 칩 적용에 사용하기 위해 제조된, 3D NAND 구조, 플랫 패널 디스플레이, 및 마이크로전자기계 시스템 (MEMS)을 포함하는 반도체 기판에 상응한다. 용어 "마이크로전자 디바이스"는 어떤 방식으로든 제한하려는 것이 아니며, 음의 채널 금속 산화물 반도체 (nMOS) 및/또는 양의 채널 금속 산화물 반도체 (pMOS) 트랜지스터를 포함하고 최종적으로 마이크로전자 디바이스 또는 마이크로전자 어셈블리가 될 것인 임의의 기판을 포함하는 것으로 이해해야 한다. 이러한 마이크로전자 디바이스는 적어도 하나의 기판을 함유하며, 이것은, 예를 들어, 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화 탄화규소, 질화규소, 수소화 질화규소, 탄질화규소, 수소화 탄질화규소, 질화붕소, 반사방지 코팅, 포토레지스트, 게르마늄, 게르마늄-함유, 붕소-함유, Ga/As, 가요성 기판, 및 다공성 무기 물질, 구리, 알루미늄, 코발트, 텅스텐, 몰리브데넘, 루테늄 및 이리듐과 같은 금속, 및 TiN, Ti(C)N, TaN, Ta(C)N, Ta, WN, WC, MoC 또는 MoN과 같지만 이에 제한되지 않는 확산 배리어 층으로부터 선택될 수 있다. 필름은, 예를 들어, 화학 기계적 평탄화 (CMP) 및 이방성 에칭 공정과 같은 다양한 후속 처리 단계와 양립가능하다.
본 발명은 그의 특정 실시양태의 하기 실시예에 의해 추가로 설명될 수 있지만, 이들 실시예는 단지 예시의 목적을 위해 포함되고 달리 구체적으로 지시하지 않는 한 본 발명의 범주를 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
실시예
실시예 1 -- 디메틸히드라지도-디메틸실란의 합성
n-펜탄(350g, 4.85mol) 중 N,N'-디메틸히드라진 (88.93g, 1.48mol)의 얼음처럼 차가운 용액에, n-펜탄(70g, 0.97mol) 중 클로로디메틸실란(70g, 0.74mol)의 용액을 적가하고 -10℃ 미만에서 교반하였다. 클로로디메틸실란 용액의 첨가 후, 반응 혼합물을 실온에서 5 시간 동안 교반하였다. 얻은 백색 슬러리를 여과하고 n-펜탄 (125g)으로 세척하였다. 휘발성 물질의 제거 후, 얻은 조 생성물을 50 ℃에서 240 torr 하에 단순 증류에 의해 정제하여 무색 액체로서 표제 화합물 (62.50g, 71.4 %)을 수득하였다.
실시예 2 -- 비스(디메틸실릴)디메틸히드라진의 합성
n-헥산 (210g, 2.44mol) 중 디메틸히드라지도-디메틸실란 (60g, 0.507mol)의 용액에, n-헥산 중 n-부틸리튬의 용액 (203mL, 2.5mol)을 -20℃에서 적가하였다. n-부틸리튬 용액의 첨가 후, 반응 혼합물을 실온에서 2 시간 동안 교반한 다음 -10℃로 냉각시켰다. 이 반응 혼합물에, n-헥산 중 클로로디메틸실란의 용액을 적가한 후, 실온에서 5 시간 교반하였다. 얻은 백색 슬러리를 여과하고 n-헥산 (90g)으로 세척하였다. 휘발성 물질의 제거 후, 얻은 조 생성물을 80℃에서 80 torr 하에 단순 증류에 의해 정제하여 무색 액체로서 최종 생성물 (78.71g, 63.5 %)을 수득하였다.
실시예 3 - 이산화규소 필름의 침착
규소 전구체로서 비스(디메틸실릴)디메틸히드라진 증기를 사용하여 산화규소 필름을 침착시켰다. 비스(디메틸실릴)디메틸히드라진을 실온에서 버블러에 넣었다. 더블 샤워 헤드 ALD 반응기를 사용하여 웨이퍼 온도로서 500 내지 600℃에서 오존 공-반응물로 규소 웨이퍼 상에 산화규소 필름을 침착시켰다. 반응기 압력을 0.5 내지 1.5 Torr로 제어하였다. 산화규소 필름은 다음의 펄스 시퀀스: 28초 규소 전구체 펄스, 20초 Ar 퍼지, 25초 오존 펄스 및 20초 Ar 퍼지를 사용하여 형성되었다. 이 시퀀스를 160 사이클 동안 반복하여 400Å의 필름 두께를 제공하였다. 침착 속도는 필름에 탄소 및 염소 불순물 없이 550℃에서 약 2.5Å/사이클에서 포화되었다. 필름 습식 에칭 속도는 0.2% HF 희석 용액을 사용하여 51.4Å/분이 얻어졌다.
실시예 4 - 질화규소 필름의 침착
규소 소스 전구체 물질로서 비스(디메틸실릴)디메틸히드라진을 사용하여 질화규소 필름을 침착시켰다. BDMSDMH의 버블러를 실온 (대략 23℃)에서 유지하고, 반응기 압력을 0.5 내지 1.5 Torr로 제어하였다. 질화규소 필름은 다음의 펄스 시퀀스: 28초 규소 전구체 펄스, 20초 Ar 퍼지, 200 또는 300W에서 13.56MHz 주파수 펄스로 15 또는 20초의 직접 질소 플라즈마 및 20초 Ar 퍼지를 사용하여 형성되었다. 이 시퀀스를 170 사이클 동안 반복하여 200Å의 필름 두께를 제공하였다. 공정은 필름에 탄소 및 염소 불순물 없이 300℃에서 약 1.16Å/사이클에서 포화되었다.
본 발명을 특히 그의 특정 실시양태와 관련하여 상세히 기술하였지만, 발명의 취지 및 범주 내에서 변형 및 변경이 이루어질 수 있다는 것을 이해할 것이다.
이와 같이 본 개시내용의 몇 가지 예시적인 실시양태들을 기술하였지만, 통상의 기술자라면 또 다른 실시양태들이 본원에 첨부된 청구범위의 범주 내에서 만들어지고 사용될 수 있다는 것을 쉽게 인지할 것이다. 본 문서에 의해 포괄되는 개시내용의 많은 장점들은 상기 설명에 제시되어 있다. 그러나, 본 개시내용은 많은 면에서 예시일 뿐임을 이해할 것이다. 특히 부품의 형상, 크기, 및 배열 문제에서 개시내용의 범주를 초과하지 않으면서 세부사항의 변경이 이루어질 수 있다. 개시내용의 범주는 당연히 첨부된 청구범위가 표현되는 언어로 정의된다.

Claims (20)

  1. 화학식 (I)의 화합물:
    Figure pct00010

    여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택된다.
  2. 제1항에 있어서, 각각의 R1이 H인 화합물.
  3. 제1항에 있어서, 각각의 R1이 메틸인 화합물.
  4. 제1항에 있어서, 각각의 R1이 에틸인 화합물.
  5. 제1항에 있어서, 각각의 R1이 Cl, Br 및 I로부터 선택된 할로겐 원자인 화합물.
  6. 화학식 (I)의 화합물을 제조하는 방법으로서,
    Figure pct00011

    (여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택됨)
    A. 하기 화학식의 화합물
    Figure pct00012

    을 임의로 질소 원자를 함유하는, 화학식 M-R3 (여기서 M은 리튬 또는 칼륨이고 R3은 C1-C6 알킬 기임)의 화합물과 접촉시켜, 화학식 (II)의 화합물을 제공하는 단계:
    Figure pct00013

    (여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할라이드 원자로부터 선택되고, R2는 리튬 또는 칼륨으로부터 선택됨); 이어서
    B. 화학식 (II)의 화합물을 하기 화학식의 화합물과 반응시키는 단계
    Figure pct00014

    (여기서 X는 할로겐임)
    를 포함하는 방법.
  7. 제6항에 있어서, 각각의 R1이 메틸인 방법.
  8. 제6항에 있어서, 화학식 M-R3의 화합물이 n-부틸 리튬, 메틸 리튬, t-부틸 리튬, 리튬 디이소프로필아미드, 메틸 포타슘, 및 n-부틸 포타슘으로부터 선택되는 것인 방법.
  9. 제6항에 있어서, 각각의 R1이 메틸이고, X가 클로로이고, M이 리튬인 방법.
  10. 화학식 (II)의 화합물:
    Figure pct00015

    여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택되고, R2는 리튬 또는 칼륨으로부터 선택된다.
  11. 제10항에 있어서, 각각의 R1이 메틸이고 R2가 리튬인 화합물.
  12. 제10항에 있어서, 각각의 R1이 메틸이고 R2가 칼륨인 화합물.
  13. 제10항에 있어서, 각각의 R2가 에틸인 화합물.
  14. 마이크로전자 디바이스의 표면 상에 규소-함유 필름을 침착시키는 방법으로서, 반응 챔버에서 증기 침착 조건 하에 상기 표면에 화학식 (I)의 적어도 1종의 화합물을 도입시키는 것을 포함하는 방법:
    Figure pct00016

    여기서 각각의 R1은 독립적으로 수소, C1-C4 알킬, 또는 Cl, Br 및 I로부터 선택된 할로겐 원자로부터 선택된다.
  15. 제14항에 있어서, 규소-함유 필름이 이산화규소인 방법.
  16. 제14항에 있어서, 규소-함유 필름이 질화규소인 방법.
  17. 제10항에 있어서, 증기 침착 조건이 화학 증기 침착 (CVD), 원자 층 침착 (ALD), 플라즈마-강화 ALD (PEALD), 플라즈마 강화 순환 화학 증기 침착 (PECCVD), 유동성 화학 증기 침착 (FCVD), 플라즈마-강화 ALD-유사 공정, 또는 산소-함유 반응물, 질소-함유 반응물 또는 그의 조합을 사용한 ALD 공정으로부터 선택되는 것인 방법.
  18. 제15항에 있어서, 증기 침착 조건이 약 150℃ 내지 약 650℃의 온도, 및 하기 단계들을 포함하는 펄싱 시퀀스를 포함하는 것인 방법:
    (v) 0.1 내지 30초 동안 화학식 (I)의 전구체를 주입하는 단계, 이어서
    (vi) 1 내지 30초 동안 불활성 기체를 사용하여 퍼징하는 단계, 이어서
    (vii) 약 0.1 내지 30초 동안 50 내지 500 sccm의 유량으로 오존을 주입하는 단계, 이어서
    (viii) 1 내지 30초 동안 불활성 기체를 사용하여 퍼징하는 단계, 및
    원하는 두께의 필름을 얻을 때까지 단계 (i) 내지 (iv)를 반복하는 단계.
  19. 제18항에 있어서, 화학식 (I)의 화합물이 비스(디메틸실릴)디메틸히드라진인 방법.
  20. 제18항에 있어서, 온도가 약 500 내지 550℃인 방법.
KR1020227037393A 2020-03-31 2021-03-26 규소-함유 필름을 제조하기 위한 전구체 및 방법 KR20220159438A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063002855P 2020-03-31 2020-03-31
US63/002,855 2020-03-31
PCT/US2021/024510 WO2021202315A1 (en) 2020-03-31 2021-03-26 Precursors and methods for preparing silicon-containing films

Publications (1)

Publication Number Publication Date
KR20220159438A true KR20220159438A (ko) 2022-12-02

Family

ID=77855580

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227037393A KR20220159438A (ko) 2020-03-31 2021-03-26 규소-함유 필름을 제조하기 위한 전구체 및 방법

Country Status (7)

Country Link
US (1) US20210301400A1 (ko)
EP (1) EP4126886A4 (ko)
JP (1) JP7472312B2 (ko)
KR (1) KR20220159438A (ko)
CN (1) CN115485284A (ko)
TW (1) TWI774299B (ko)
WO (1) WO2021202315A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023102440A1 (en) * 2021-12-03 2023-06-08 Lam Research Corporation Conformal, carbon-doped silicon nitride films and methods thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR102188750B1 (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films

Also Published As

Publication number Publication date
JP7472312B2 (ja) 2024-04-22
WO2021202315A1 (en) 2021-10-07
EP4126886A4 (en) 2024-05-01
TW202142545A (zh) 2021-11-16
US20210301400A1 (en) 2021-09-30
CN115485284A (zh) 2022-12-16
EP4126886A1 (en) 2023-02-08
TWI774299B (zh) 2022-08-11
JP2023520781A (ja) 2023-05-19

Similar Documents

Publication Publication Date Title
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
CN112969817B (zh) 含硅膜的高温原子层沉积
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
US20210395884A1 (en) Silicon precursor compounds and method for forming silicon-containing films
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
JP7400120B2 (ja) ケイ素ヒドラジド前駆体化合物
US20220359192A1 (en) Silicon precursor compounds and method for forming silicon-containing films
JP7463563B2 (ja) 蒸着前駆体化合物及び使用のプロセス
US20230088079A1 (en) Silicon precursors
TW202240004A (zh) 高通量沈積方法

Legal Events

Date Code Title Description
A201 Request for examination