KR20170018872A - 질화규소 막을 증착시키는 방법 - Google Patents

질화규소 막을 증착시키는 방법 Download PDF

Info

Publication number
KR20170018872A
KR20170018872A KR1020170018917A KR20170018917A KR20170018872A KR 20170018872 A KR20170018872 A KR 20170018872A KR 1020170018917 A KR1020170018917 A KR 1020170018917A KR 20170018917 A KR20170018917 A KR 20170018917A KR 20170018872 A KR20170018872 A KR 20170018872A
Authority
KR
South Korea
Prior art keywords
plasma
reactor
group
silicon nitride
nitrogen
Prior art date
Application number
KR1020170018917A
Other languages
English (en)
Other versions
KR102281913B1 (ko
Inventor
하리핀 찬드라
아누파마 말리카르주난
신지안 레이
김무성
커크 스코트 컷힐
마크 레오나르드 오'넬
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20170018872A publication Critical patent/KR20170018872A/ko
Application granted granted Critical
Publication of KR102281913B1 publication Critical patent/KR102281913B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/027Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명에는 질화규소 막을 형성하는 방법이 기술된다. 일 양태에서, 기판을 반응기에 제공하는 단계; 반응기에 본원에 기술된 적어도 하나의 SiH3 기를 갖는 적어도 하나의 오가노아미노실란을 도입하는 단계로서, 적어도 하나의 오가노아미노실란이 기판 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계; 반응기를 퍼지 가스로 퍼징하는 단계; 질소 및 불활성 가스를 포함하는 플라즈마를 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응하고 하나 이상의 반응성 사이트를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도로 발생되는 단계를 포함하는 질화규소 막을 형성하는 방법이 제공된다.

Description

질화규소 막을 증착시키는 방법{METHODS FOR DEPOSITING SILICON NITRIDE FILMS}
관련 출원의 전후 참조
본 출원은 충분히 기재된 바와 같이 본원에 참조로서 포함된 2013년 10월 3일 출원된 미국 가특허출원 61/886,406호의 이익을 주장한다.
하나 이상의 오가노아미노실란 전구체를 이용하여 등각의 화학량론적 또는 비-화학량론적 질화규소 막을 증착시키는 방법이 본원에 기재된다. 보다 구체적으로, 집적 회로 디바이스의 제작에서의 질화규소 막을 증착시키는데 이용되는 플라즈마 강화 원자층 증착 ("PEALD"), 플라즈마 강화 사이클릭 화학적 증기 증착 ("PECCVD")을 비제한적으로 포함하는 플라즈마-기반 공정이 본원에 기재된다.
저압 화학적 증기 증착 (LPCVD) 공정은 질화규소 막의 증착을 위해 반도체 산업에서 이용되는 더욱 광범하게 인정되는 방법들 중 하나이다. 암모니아를 이용한 저압 화학적 증기 증착 (LPCVD)은 합리적인 성장률 및 균일성을 얻기 위해 650℃가 넘는 증착 온도를 필요로 할 수 있다. 개선된 막 특성을 제공하기 위해 통상적으로 더 높은 증착 온도가 이용된다. 질화규소를 증가시키기 위해 더욱 일반적인 산업 방법들 중 하나는 전구체 실란, 디클로로실란, 및/또는 암모니아를 이용하여 750℃를 초과하는 온도의 고온 벽 반응기에서 저압 화학적 증기 증착을 통해 수행된다. 그러나, 이러한 방법을 이용하는 경우 여러 단점이 존재한다. 예를 들어, 특정 전구체, 예컨대 실란은 자연발화성이다. 이는 조작 및 용법에 문제를 야기할 수 있다. 또한, 디클로로실란으로부터 증착된 막은 증착 공정 동안 부산물로서 형성되는 염소 및 암모늄 클로라이드와 같은 특정 불순물을 함유할 수 있다.
BTBAS 및 클로로실란과 같이 질화규소 막을 증착시키는데 이용되는 전구체는 일반적으로 550℃가 넘는 온도에서 막을 증착시킨다. 반도체 디바이스의 소형화 및 낮은 열 예산의 경향은 400℃ 미만의 공정 온도 및 더욱 높은 증착률을 요구한다. 실리콘 막이 증착되는 온도는, 특히 금속화 층을 포함하는 기판에 대해 그리고 다수의 III-V족 및 II-VI족 디바이스 상에서, 격자에서의 이온 확산을 방지하기 위해 감소되어야 한다.
미국 공개공보 2013/183835호 ("'835 공개공보")는 기판 상의 낮은 온도에서 등각의 질화규소 막을 형성하기 위한 방법 및 장치를 기재하고 있다. 질화규소 층을 형성하는 방법은 공정 가스 혼합물을 그 안에 기판을 지닌 공정 챔버로 유동시키고 (이 때 공정 가스 혼합물은 불안정한 규소-질소 결합, 규소-탄소 결합, 또는 질소-탄소 결합을 지니는 전구체 가스 분자를 포함한다), 불안정한 결합을 우선적으로 끊어서 전구체 가스를 약 20℃ 내지 약 480℃의 온도에서 활성화시킴에 의해 전구체 가스 분자를 따라 하나 이상의 반응 부위를 제공하고, 전구체 물질층을 기판 상에 형성하고 (이 때 활성화된 전구체 가스 분자는 하나 이상의 반응 부위에서 기판 상의 표면에 결합한다), 전구체 물질층 상에서 플라즈마 처리 공정을 수행하여 등각의 질화규소 층을 형성하는 것을 포함하는 증착 사이클을 수행하는 것을 포함한다. '835 공개공보는 공정 가스 혼합물이 암모니아, 하이드라진, 헬륨, 아르곤, 수소, 질소, 제논, 및 헬륨을 추가로 포함할 수 있음을 교시한다 ('835 공개공보의 [0031]을 참조하라). '835호는 아르곤 및 헬륨이 더 높은 출력 (예컨대, 1 W/cm2 초과)에서 공정 가스 혼합물에 사용하기에 덜 바람직할 수 있는데, 그 이유는 이것이 플라즈마 상태에서 너무나 반응성이어서 (단지 불안정한 결합의 파괴를 돕는 대신(id.) 전구체 분자의 과도한 분리를 유도할 수 있기 때문이라고 추가로 교시한다.
미국 공개공보 2009/075490호 ("'490 공개공보")는 실리콘 웨이퍼를 반응 챔버에 도입시키고; 질화규소 화합물을 반응 챔버에 도입시키고; 반응 챔버를 불활성 가스로 퍼징하고; 가스 형태의 질소-함유 공-반응물을 실리콘 웨이퍼 상에서 질화규소 막의 단분자층을 형성하기에 적합한 조건하에 반응 챔버에 도입시키는 것을 포함하는 질화규소 막을 제조하는 방법을 기재하고 있다.
*미국 공개공보 2009/155606호 ("'606 공개공보")는 기판 상에 질화규소 막을 증착시키는 주기적 방법을 기재하고 있다. 한 구체예에서, 방법은 클로로실란을 기판이 가공되는 반응기에 공급하고; 퍼지 가스를 반응기에 공급하고; 암모니아 플라즈마를 반응기에 제공하는 것을 포함한다.
미국 특허 6,391,803호 ("'803 특허")는 Si를 함유하는 고형 박막층을 형성하는 원자층 증착 방법을 기재하고 있다.
미국 특허 6,528,430호 ("'430 특허")는 Si2Cl6 및 NH3, 또는 Si2Cl6 및 활성화된 NH3를 반응물로서 이용하는 질화규소 박막을 형성하는 ALD 방법을 기재하고 있다. 상기 방법의 한 구체예에서, NH3 반응물은 플라즈마를 형성하기 위한 원격 플라즈마 발생기에서 발생하여 Ar 캐리어 가스 스트림 중에서 챔버로 도입된다 ('430 특허 컬럼 4, 56-62행을 참조하라).
미국 공개공보 2010/0081293호 ("'293 공개공보")는 규소 전구체 및 라디칼 질소 전구체를 증착 챔버에 도입시키는 것을 포함하는 질화규소를 증착시키는 방법을 기재하고 있다. 규소 전구체는 N―"Si―"H 결합, N―"Si―"Si 결합 및/또는 Si―"Si―"H 결합을 지닌다. 라디칼 질소 전구체는 포함된 산소로부터 실질적으로 자유롭다. 라디칼 질소 전구체는 증착 챔버 외부에서 발생한다. 규소 전구체 및 라디칼 질소 전구체는 상호작용하여 질화규소 기반 유전체층을 형성한다. '293 공개공보는 Ne, Ar, Kr, 및/또는 Xe로부터 선택되는 출발 물질로부터 증착 챔버 외부에서 발생할 수 있는 라디칼 불활성 가스 전구체의 이용을 추가로 교시한다 ('293 공개공보의 [0027]-[0028] 및 청구항 17을 참조하라). 라디칼 불활성 전구체는 N, NH, 및 NH2로부터 선택되는 라디칼 질소 전구체와 함께 규소 탄소 기반 유전체층을 증착시키거나 질화규소 기반 유전체층을 증착시키는데 이용될 수 있다 (id. 청구항 4를 참조하라).
미국 공개공보 2012/196048호 ("'048 공개공보")는 전구체를 기판 상에 흡착시키는 공정 및 반응물 가스 및 플라즈마를 이용하여 흡착된 표면을 처리하는 공정을 각각 다수 회 교대시킴으로써 박막을 형성하는 방법을 기재하고 있고, 이 때 반응물 가스는 기판 위에 실질적으로 균일하게 공급되고, 플라즈마는 펄스-시간-조절되어 반응물 가스를 공급하는 공정에 적용된다.
Klaus 등에 의한 "Atomic layer controlled growth of Si3N4 films using sequential surface reactions"라는 명칭의 참조문헌[Surface Science 418: L14-L19 (1998)]은 순차적인 표면 화학 반응을 이용하여 Si(100) 기판 상에서 원자층 조절되는 Si3N4 박막을 증착시키는 방법을 기재하고 있다. Si3N4 막 성장은 이원 반응 3SiCl4+4NH3→Si3N4+12HCl을 2개의 반-반응으로 분리시킴에 의해 달성되었다. ABAB… 순서로 SiC4 및 NH3 반-반응의 연속 적용은 500 내지 900°K의 기판 온도 및 1-10 Torr의 SiCl4 및 NH3 반응물 압력에서 Si3N4 증착을 제공하였다.
Knoops 등에 의한 "Plasma-assisted ALD of Silicon Nitride from BTBAS: Influence of Plasma Exposure and Substrate Temperature"라는 명칭의 참조문헌[12th International Conference on Atomic Layer Deposition. San Diego, CA.](ALD2013)은 N2 플라즈마와 함께 BTBAS (비스-아미노실란)를 이용한 Si 니트라이드의 증착을 교시한다. 증착된 막은 약 5%의 O2 및 약 5%의 탄소를 지닌다.
Schuh 등에 의한 "Disilanyl-amines―Compounds Comprising the Structure Unit Si-Si-N, as Single-Source Precursors for Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) of Silicon Nitride"라는 명칭의 참조문헌[Zeitschrift Fur Anorganische und Allgemeine Chemie, 619 (1993), pp. 1347-52]은 전구체가 구조적 단위 Si-Si-N, 예컨대 (Et2N)2HSi-SiH3, (Et2N)2HSi-SiH(NEt2)2, (i-Pr)2NH2Si-SiH3 및 [(i-Pr)2N]H2Si-SiH2[N(i-Pr)2]를 지니는, 질화규소 막의 PECVD을 위한 잠재적인 단일-공급원 전구체를 기재하고 있다. 전구체 1,2-비스(디-i-프로필아미노)디실란 (BIPADS)이 질화규소 막의 PECVD 증착에 이용되었다. BIPADS 전구체로부터 생성된 막은 1.631-1.814 범위의 굴절률을 나타내었고, 낮은 탄소 및 매우 낮은 산소 함량, 그러나 높은 (Si-결합된) 수소 함량을 지녔다.
따라서, 등각의 고품질 질화규소 막을 증착시키기 위한 저온(예컨대, 400℃ 또는 그 미만의 공정 온도) 방법으로서, 상기 막이 하기 특징 중 하나 이상을 갖는 방법을 제공하는 것이 당 분야에서 요구된다: 입방 센티미터 당 2.4 그램 (g/cc) 또는 그 초과의 밀도, 다른 증착 방법을 이용한 다른 질화규소 막에 비해 낮은 습식 식각율 (희석 불화수소산(HF)에서 측정됨), 및 이들의 조합.
기판의 적어도 일부에 화학량론적 또는 비-화학량론적 질화규소 막을 형성시키는 방법이 본원에 기재된다.
한 양태에서, 질화규소 막을 형성시키는 방법이 제공되고, 상기 방법은,
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 다음 하기 화학식 I, II 및 III으로 표시되는 하나 이상의 오가노아미노실란을 도입시키는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 질소 및 불활성 가스를 포함하는 플라즈마를 반응기에 도입시켜 화학흡착된 층(chemisorbed layer)의 적어도 일부와 반응시키고 하나 이상의 반응성 사이트를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도로 발생하는 단계; 및
e. 임의로 반응기를 불활성 가스로 퍼징하는 단계를 포함하며; 이 때 상기 단계 b 내지 e는 요망되는 두께의 질화규소 막이 수득될 때까지 반복된다:
Figure pat00001
상기 식에서, R1은 선형 또는 분지형 C3 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, 전자 끄는 기, 및 C6 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C6 알케닐기, 선형 또는 분지형 C3 내지 C6 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, 선형 또는 분지형 C1 내지 C6 플루오르화 알킬기, 전자 끄는 기, 및 C4 내지 C10 아릴기로부터 선택되고; 임의로 R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택되는 고리를 형성하고, 화학식 III에서 n=1 또는 2이고, 적어도 하나의 오가노아미노실란은 기판 표면의 적어도 일부와 반응하여 화학흡착된 층을 제공한다. 특정 구체예에서, R1 및 R2는 동일하다. 다른 구체예에서, R1 및 R2는 상이하다. 상기 또는 다른 구체예에서, R1 및 R2는 함께 연결되어 고리를 형성할 수 있다. 또한 추가의 구체예에서, R1 및 R2는 고리를 형성하기 위해 함께 연결되지 않는다.
또 다른 양태에서, 플라즈마 강화 원자층 증착 공정 또는 플라즈마 강화 ALD-유사 공정에 의해 질화규소 막을 형성시키는 방법이 제공되고, 상기 방법은,
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, 디-이소-프로필아미노디실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 구성된 군으로부터 선택된 적어도 하나의 오가노아미노실란 전구체를 도입시키는 단계로서, 이 때 적어도 하나의 오가노아미노실란이 기판 표면의 적어도 일부와 반응하여 화학흡착된 층을 제공하는 단계;
c. 반응기를 질소, 희가스, 및 이들의 조합물로부터 선택되는 적어도 하나를 포함하는 퍼지 가스로 퍼징하는 단계;
d. 질소-함유 플라즈마를 반응기에 도입시켜 화학흡착된 층의 적어도 일부와 반응시키고 하나 이상의 반응성 사이트를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도로 발생하는 단계; 및
e. 임의로 반응기를 불활성 가스로 퍼징하는 단계를 포함하며; 이 때 상기 단계 b 내지 e는 요망되는 두께의 질화규소 막이 수득될 때까지 반복된다. 특정 구체예에서, R1 및 R2는 동일하다. 다른 구체예에서, R1 및 R2는 상이하다. 상기 또는 다른 구체예에서, R1 및 R2는 함께 연결되어 고리를 형성할 수 있다. 또한 추가의 구체예에서, R1 및 R2는 고리를 형성하기 위해 함께 연결되지 않는다.
추가의 양태에서, 약 5 내지 약 50 원자 중량%의 탄소를 포함하는 질화규소 막을 기판의 적어도 표면상에 형성시키는 방법으로서,
a. 기판을 반응기에 공급하는 단계;
b. 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, N-이소프로필사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-프로필아미노디실란, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 구성된 군으로부터 선택되는 적어도 하나의 오가노아미노실란 전구체를 반응기에 도입하는 단계로서, 적어도 하나의 오가노아미노실란이 기판 표면의 적어도 일부와 반응하여 화학흡착된 층을 제공하는 단계;
c. 질소, 희가스 및 이의 조합으로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 반응기를 퍼징시키는 단계;
d. 희가스 플라즈마를 반응기에 도입하여 화학흡착된 층의 적어도 일부와 반응시키고 적어도 하나의 반응 부위를 제공하는 단계로서, 플라즈마는 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도에서 생성되는 단계; 및
e. 반응기를 불활성 가스로 선택적으로 퍼징시키는 단계를 포함하며;
여기서 단계 b 내지 e가 원하는 질화규소 막 두께가 수득 될 때까지 반복되는 방법이 제공된다.
도 1은 300℃의 온도에서 증착되는, 비스(3차-부틸아미노)실란 (BTBAS) 및 디-2차-부틸-아미노실란 (DSBAS)로부터 증착된 질화규소 막의 누출 파손 비교를 제공한다.
도 2는 하기 전구체로부터 증착된 질화규소 막의 굴절률 안정성 비교를 제공한다: DSBAS, BTBAS, 및 비스(디에틸아미노)실란 (BDEAS).
도 3은 굴절률 (RI) 대 디-이소-프로필아미노실란 (DIPAS)을 사용한 전체 흐름의 아르곤 (Ar) 백분율의 상관관계를 제공한다.
고품질의 막으로 간주되는 하나 이상의 기준을 충족시키는, 저온 예를 들어, 400℃ 또는 그 미만의 온도에서의 등각 질화규소 막의 증착은 오래된 산업계의 도전과제였다. 질화규소 막은 하기 특징중 하나 이상을 지닐 경우 "고품질" 막으로서 간주된다: 기타 질화규소 막 대비 세제곱 센티미터당 2.4 그램 또는 그 초과의 밀도 (g/cc), 낮은 습식 식각률 (묽은 불화수소산 (HF)에서 측정되는 경우), 및 이들의 조합. 이러한 또는 그 밖의 구체예에서, 고품질 질화규소 막에 대한 굴절률은 1.9 또는 그 초과이어야 한다. 반도체 분야에는 고품질 막에 요구되는 개선된 패터닝 또는 스페이서와 같은 여러 적용들이 있다. 일 구체예에서, 본원에는 질소 및 선택적으로 희가스를 포함하는 플라즈마 공정에서 본원에 기술된 화학식 I 내지 III의 오가노아미노실란 전구체를 사용하는, 저온 또는 약 25℃ 내지 약 400℃ 범위의 하나 이상의 증착 온도에서의 원자층 증착 (ALD) 또는 ALD-유사 방법이 기술된다.
규소 및 질화물을 포함하는 화학량론적 또는 비-화학량론적 질화규소 막을 기판의 적어도 일부 상에 형성시키는 방법이 본원에 기술된다. 특정 구체예에서, 질화규소 막은 탄소를 추가로 포함한다. 이러한 또는 그 밖의 구체예에서, 질화규소 막은 산소를 추가로 포함한다.
본원에 기술된 질화규소 막은 질소 원자에 결합된 적어도 하나의 SiH3 기를 포함하는 적어도 하나의 오가노아미노실란을 이용하여 증착된다. 적어도 하나의 오가노아미노실란은 아래의 하기 화학식 I, II 및 III으로 나타낸다:
Figure pat00002
상기 식에서, R1은 선형 또는 분지형 C3 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, 전자 끄는 기, 및 C6 내지 C10 아릴기로부터 선택되며; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C6 알케닐기, 선형 또는 분지형 C3 내지 C6 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, 선형 또는 분지형 C1 내지 C6 플루오르화된 알킬기, 전자 끄는 기, 및 C4 내지 C10 아릴기로부터 선택되며; 선택적으로, R1과 R2는 함께 결합되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하며; 화학식 III에서, n=1 또는 2이다. 화학식 I, II 및 III을 갖는 예시적인 오가노아미노실란 전구체는 표 1에 도시된 하기 전구체를 포함하나 이에 제한되지 않는다.
표 1. 화학식 I, II 및 III을 갖는 전구체
Figure pat00003
Figure pat00004
Figure pat00005
본원에 기술된 화학식 I, II 및 III을 갖는 오가노아미노실란 전구체는 마이크로전자 장치 제작 공정에서 이들을 PEALD 또는 PECCVD 전구체로서 이상적으로 적합하게 만드는 반응성과 안정성의 균형을 나타낸다. 반응성과 관련하여, 특정 전구체는 증발되고 반응기로 전달되어 기판상에 막으로서 증착되기에는 너무 높은 비점을 가질 수 있다. 더 높은 상대 비점을 갖는 전구체에는, 전달 컨테이너, 라인 또는 이 둘 모두에서 응축 또는 입자가 형성되는 것을 방지하기 위해 주어진 진공하에 전구체의 비점 또는 이를 초과하는 온도에서 전달 컨테이너 및 라인이 가열되어야 함이 요구된다. 안정성과 관련하여, 그 밖의 전구체는 이들이 분해될 때 실란 (SiH4) 또는 디실란 (Si2H6)을 형성할 수 있다. 실란은 실온에서 발화성이거나 자연 연소될 수 있어 안전과 조작의 문제를 야기한다. 게다가, 실란 또는 디실란 및 기타 부산물의 형성은 전구체의 순도 수준을 감소시키며, 화학 순도에서 1-2%와 같은 적은 변화도 신뢰할만한 반도체 제작에 있어서는 허용되지 않는 것으로 간주될 수 있다. 특정 구체예에서, 본원에 기술된 화학식 I 내지 III을 갖는 오가노아미노실란 전구체는 2 중량% 또는 그 미만의 중량%, 또는 1 중량% 또는 그 미만의 중량%, 또는 0.5 중량% 또는 그 미만의 중량%의 부산물을 포함하며 (6개월 또는 그 초과, 또는 1년 또는 그 초과의 기간 동안 저장된 후), 이는 저장 안정성을 나타낸다. 상기 이점 이외에, 특정 구체예에서, 예컨대, PEALD 또는 PECCVD 증착 방법을 이용한 질화규소 막의 증착에 있어서, 본원에 기술된 오가노아미노실란 전구체는 비교적 낮은 증착 온도 예를 들어, 400℃ 또는 그 미만, 또는 300℃ 또는 그 미만, 200℃ 또는 그 미만, 100℃ 또는 그 미만에서 고밀도 물질을 증착시킬 수 있다. 특정 일 구체예에서, 오가노아미노실란 전구체, 예컨대, 화학식 I 전구체 (예를 들어, 디-이소-프로필아미노실란 또는 디-2차-부틸아미노실란), 화학식 II 전구체 (예를 들어, 2,6-디메틸피페리디노실란), 또는 화학식 III 전구체 (예를 들어, 디-이소-프로필아미노디실란, 디-2차-부틸아미노디실란, 또는 2,6-디메틸피페리디노디실란)이 200℃ 또는 그 미만과 같은 낮은 온도 또는 주위 온도 또는 실온 (예를 들어, 25℃)에서 PEALD 또는 PECCVD를 통해 질화규소 또는 탄질화규소 막을 증착시키는데 사용될 수 있다.
화학식에서 그리고, 설명 전반에 걸쳐, 용어 "사이클릭 알킬"은 3 내지 10 개 또는 4 내지 10 개 탄소 원자 또는 5 내지 10 개 탄소 원자를 갖는 시클릭 작용기를 의미한다. 예시적인 사이클릭 알킬기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하나 이에 제한되지 않는다.
화학식에서 그리고 설명 전반에 걸쳐, 용어 "아릴"은 5 내지 12 개 탄소 원자 또는 6 내지 10 개 탄소 원자를 갖는 방향족 사이클릭 작용기를 의미한다. 예시적인 아릴기는 페닐, 벤질, 클로로벤질, 톨릴 및 o-자일릴을 포함하나 이에 제한되지 않는다.
화학식에서 그리고 설명 전반에 걸쳐, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 가지며, 3 내지 10 개 또는 3 내지 6 개 또는 3 내지 4 개의 탄소 원자를 갖는 기를 의미한다.
화학식에서 그리고 설명 전반에 걸쳐, 용어 "알키닐기"는 하나 이상의 탄소-탄소 삼중 결합을 가지며, 3 내지 10 개 또는 3 내지 6 개 또는 3 내지 4 개의 탄소 원자를 갖는 기를 의미한다.
화학식에서 그리고 설명 전반에 걸쳐, 용어 "디알킬아미노기"는 질소 원자에 부착된 2개의 알킬기를 가지며, 1 내지 10 개 또는 2 내지 6 개 또는 2 내지 4 개의 탄소 원자를 갖는 기를 의미한다. 예시적인 아릴기는 디메틸아미노, 디에틸아미노, 및 에틸메틸아미노를 포함하나 이에 제한되지 않는다.
본원에 사용된 바와 같은 용어 "전자 끄는 기"는 Si-N 결합으로터 전자를 멀리 끌어당기는 작용을 하는 원자 또는 이의 기를 설명한다. 적합한 전자 끄는 기 또는 치환기의 예로는 니트릴 (CN)을 포함하나 이에 제한되지 않는다. 특정 구체예에서, 전자 끄는 치환기는 임의의 하나의 화학식 I에서 N에 인접하거나 근접할 수 있다. 전자 끄는 기의 추가의 비제한적 예로는 F, Cl, Br, I, CN, NO2, RSO, 및/또는 RSO2을 포함하며, 여기서, R은 C1 내지 C10 알킬기 예컨대, 메틸 기 또는 기타 기일 수 있으나 이에 제한되지 않는다.
특정 구체예에서, 화학식 I 내지 III에서 알킬기, 알케닐기, 알키닐기, 알콕시기, 디알킬아미노기, 아릴기 및/또는 전자 끄는 기중 하나 이상이 치환될 수 있거나 예를 들어, 수소 원자 대신에 치환된 하나 이상의 원자들 또는 원자들의 그룹을 가질 수 있다. 예시적인 치환기는 산소, 황, 할로겐 원자 (예를 들어, F, Cl, I, 또는 Br), 질소 및 인을 포함하나 이에 제한되지 않는다. 그 밖의 구체예에서, 화학식 I 내지 III에서 알킬기, 알케닐기, 알키닐기, 알콕시기, 디알킬아미노 아릴기 및/또는 전자 끄는 기중 하나 이상이 비치환될 수 있다.
질화규소 막 또는 코팅을 형성하는데 이용되는 방법은 증착 공정이다. 본원에 기재된 방법에 적합한 증착 공정의 예는 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 사이클릭 CVD (PECCVD) 공정을 포함하나 이에 제한되지 않는다. 본원에 사용된 바와 같은 용어 "화학 증기 증착 공정"은, 기판이 하나 이상의 휘발성 전구체에 노출되고, 이러한 휘발성 전구체가 기판 표면상에서 반응하고/거나 분해되어 요망되는 증착을 유도하는 임의의 공정을 지칭한다. 본원에 사용된 바와 같은 용어 "원자 층 증착 공정"은 다양한 조성의 기판상에 물질의 막을 증착시키는 자기-제한적인 (예를 들어, 각 반응 사이클에서 증착된 막 물질의 양이 일정함) 순차적 계면화학을 지칭한다. 본원에 사용된 전구체, 시제 및 공급원이 가끔 "가스"로서 기재될 수 있지만, 전구체는 직접 증발, 버블링 또는 승화를 통해 불활성 가스와 또는 불활성 가스 없이 반응기로 수송되는 액체 또는 고체일 수 있음이 이해된다. 일부 경우에, 증발된 전구체는 플라즈마 발생기를 통해 통과할 수 있다. 일 구체예에서, 질화규소 막은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 질화규소 막은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 질화규소 막은 열 CVD 공정을 이용하여 증착된다. 본원에 사용된 바와 같은 용어 "반응기"는 비제한적으로, 반응 챔버 또는 증착 챔버를 포함한다. ALD-유사 공정은 하기 중 적어도 하나를 지님으로써 기재된 바와 같은 기판상에 질화규소 또는 탄질화규소와 같은 높은 등각의 질화규소 막을 제공하는 사이클릭 CVD 공정으로서 본원에서 정의된다: 엘립소미터에 의해 측정시 약 5% 또는 그 미만의 불-균일도 (non-uniformity) 백분율, 사이클당 1Å 또는 그 초과의 증착률, 또는 이의 조합.
특정 구체예에서, 본원에 기재된 방법은 반응기로 도입되기 전 및/또는 동안에 전구체를 분리하는 PEALD 또는 PECCVD 방법을 이용함으로써 전구체의 사전-반응 회피한다. 이와 관련하여, PEALD 또는 PECCVD 공정과 같은 증착 기법이 질화규소 막을 증착하는데 이용된다. 일 구체예에서, 막은 기판 표면을 하나 이상의 질화규소 전구체, 질소-함유 공급원 또는 그 밖의 전구체 또는 시제에 교대로 노출시킴으로써 PEALD 공정을 통해 증착된다. 막 성장은 표변 반응, 각 전구체 또는 시제의 펄스 길이, 및 증착 온도의 자기-제한 제어에 의해 진행된다. 그러나, 기판의 표면이 일단 포화되면, 막 성장이 중단된다.
특정 구체예에서, 본원에 기술된 방법은 상기 화학식 I 내지 III을 갖는 오가노아미노실란 전구체 이외의 하나 이상의 추가적인 질화규소 전구체를 추가로 포함한다. 추가적인 질화규소 전구체의 예로는 모노클로로실란, 디클로로실란, 헥사클로로디실란을 포함하나 이에 제한되지 않는다.
증착 방법에 따라, 특정 구체예에서, 적어도 하나의 오가노아미노실란 전구체는 소정의 몰부피로 또는 약 0.1 내지 약 1000 마이크로몰로 반응기내로 도입될 수 있다. 이러한 또는 그 밖의 구체예에서, 적어도 하나의 오가노아미노실란 전구체는 소정의 기간 동안 반응기 내로 도입될 수 있다. 특정 구체예에서, 기간은 약 0.001 내지 약 500 초 범위이다.
특정 구체예에서, 질화규소 막은 규소 및 질소를 포함한다. 이러한 구체예에서, 본원에 기술된 방법을 이용하여 증착된 질화규소 막은 질소-함유 공급원의 존재하에 형성된다. 질소-함유 공급원은 적어도 하나의 질소-함유 공급원의 형태로 반응기 내로 도입될 수 있고/거나 증착 공정에 사용된 나머지 전구체들중에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는 예를 들어, 질소/아르곤 플라즈마를 포함할 수 있다. 특정 구체예에서, 질소-함유 공급원은 질소/아르곤 플라즈마 공급원 가스를 포함하며, 이는 약 1 내지 약 2000 표준 세제곱 센티미터 (sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내로 도입된다. 질소-함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 막이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초보다 긴 펄스 지속 시간을 가질 수 있고, 질소-함유 공급원은 0.01 초보다 짧은 펄스 지속 시간을 가질 수 있으며, 한편 물 펄스 지속 시간은 0.01 초보다 짧은 펄스 지속 시간을 가질 수 있다. 추가의 또 다른 구체예에서, 펄스 사이의 퍼지 시간은 0초 만큼 짧을 수 있거나 중간에 퍼지 없이 연속적으로 펄싱된다.
본원에 기재된 방법에서, 질소 함유 가스, 비제한적인 예로, 질소 및 임의로 희가스, 바람직하게는 질소의 원자 질량(즉, 28 amu)보다 큰 원자 질량을 갖는 희가스를 포함하는 질소-함유 플라즈마는 정위(in situ)에서 생성되거나 원위에서 생성될 수 있다. 질소의 원자 질량보다 큰 원자 질량을 갖는 희가스의 존재는 더 많은 원자 질소 라디칼을 발생시키는 것으로 생각된다. 질소 플라즈마 소스 가스는 약 1 내지 약 2000 제곱 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 또는 그 초과의 범위의 유량으로 반응기에 도입된다. 질소 함유 플라즈마는 약 0.01 내지 약 100초 또는 그 초과의 범위의 시간 동안 도입될 수 있다. 구체예에서, 전구체 펄스는 0.01초를 초과하는 펄스 기간을 가질 수 있고, 질소-함유 플라즈마는 0.01초 미만의 펄스 기간을 가질 수 있는 반면, 물 펄스 기간은 0.01초 미만의 펄스 기간을 가질 수 있다. 또 다른 구체예에서, 전구체 펄스와 질소 플라즈마 사이의 퍼지 기간은 0초만큼 적을 수 있다. 또 다른 구체예에서, 수소 플라즈마는 수소 플라즈마가 이용될 수 있는 경우에 희가스와 혼합된 순수한 수소(H2)를 이용하여 정위에서 생성될 수 있거나 원위에서 생성될 수 있다. 질소 및 희가스 둘 모두를 함유하는 플라즈마 내의 희가스의 중량 백분율은 1wt% 내지 99%로 다양할 수 있는 반면, 수소 및 희가스 둘 모두를 함유하는 플라즈마 내의 희가스의 중량 백분율은 또한 1wt% 내지 99%로 다양할 수 있다.
본원의 실시예에서 입증되는 바와 같이, 암모니아 플라즈마, 수소/질소 플라즈마와 같이 종래에 개시된 통상적인 질소 함유 플라즈마는 현존하는 오가노아미노실란, 예를 들어, BTBAS를 이용하여 고품질의 질화규소를 제공할 수 없다. 이론으로 제한하고자 하는 것은 아니지만, 질소 및 희가스, 예를 들어, 아르곤 둘 모두를 함유하는 플라즈마가 질화규소 막의 형성을 도울 수 있을 뿐만 아니라 화학식 I 내지 III을 갖는 오가노아미노실란에 대해 화학적으로 흡착된 표면의 적어도 일부에 대한 반응성 사이트 및 상기 반응성 사이트로 고정하기 위한 이후의 증착 주기에서의 적어도 하나의 SiH3 기를 제공하는 것으로 생각된다. 이는 주기적 증착이 발생하는 것을 가능케 하는 반면, 통상적인 질소 함유 플라즈마, 예를 들어, 암모니아 플라즈마, 수소/질소 플라즈마는 표면을 악화시킴으로써 최소의 필름 증착을 발생시킨다. 어떠한 이론으로 제한하고자 하는 것은 아니지만, 전극의 영역 상의 플라즈마 출력에 의해 규정(예를 들어, 6" 웨이퍼 ALD 반응기에 대해 450W의 플라즈마 출력, 전극의 영역이 웨이퍼와 동일한 것으로 추정하는 경우 플라즈마 밀도는 약 2.5W/cm2임)되고, 기판 뿐만 아니라 기판 상의 임의의 빌트-인(built-in) 구조에 대한 잠재적 플라즈마 손상을 감소시키기 위해 바람직하게는 2 W/cm2 보다 낮은 플라즈마 밀도는 반도체 제조 공정으로 이행될 수 있는 고품질 질화규소 막을 여전히 생성시킨다. 본원에 기재된 방법의 플라즈마 밀도는 약 0.01 내지 약 2 W/cm2, 또는 약 0.01 내지 약 1.5 W/cm2, 또는 약 0.01 내지 1 W/cm2 범위이다. 통상적인 플라즈마 주파수는 10 KHz 내지 2.4 GHz, 바람직하게는 10 kHz 내지 60 MHz 범위이다. 일부 구체예에서, 이중 RF 플라즈마가 이용될 수 있으며, 하나는 10 kHz 내지 1 MHz 범위의 낮은 주파수 범위이고, 나머지는 13.56MHz 내지 27.1MHz로 구성된 군으로부터 선택된 중간 주파수이다.
본원에 기재된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징시키기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적 퍼지 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온(Ne), 수소(H2), 및 이들의 혼합물을 포함하나, 이에 제한되지는 않는다. 특정 구체예에서, 퍼지 가스로 사용되는 불활성 가스는 희가스를 포함한다. 본원에서 사용되는 용어 "희가스"는 주기율표의 18족에서 발견되는 가스를 의미하고, 이는 헬륨(He), 네온(Ne), 아르곤(Ar), 제논(Xe), 크립톤(Kr), 및 이들의 혼합물을 포함한다. 한 특정 구체예에서, 퍼지 가스로 사용되는 희가스는 아르곤을 포함한다. 이러한 또는 다른 구체예에서, Ar을 포함하는 퍼지 가스는 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기로 공급됨으로써, 반응기에 남아있을 수 있는 미반응된 전구체 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 질소-함유 소스, 및/또는 다른 전구체, 소스 가스, 및/또는 시약을 공급하는 각각의 단계는 생성되는 질화규소 막의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 변화시킴으로써 수행될 수 있다.
에너지는 반응을 유도하고, 기판 상에 질화규소 막 또는 코팅을 형성시키기 위해 전구체, 질소-함유 소스, 환원제, 다른 전구체 또는 이들의 조합물 중 적어도 하나에 공급된다. 이러한 에너지는, 비제한적인 예로, 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도적으로 커플링된 플라즈마, X-선, e-빔, 광자, 원위 플라즈마 방법, 및 이들의 조합물에 의해 제공될 수 있다. 특정 구체예에서, 이차 RF 주파수 소스가 기판 표면에서 플라즈막 특징을 변형시키기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 과정은 플라즈마가 반응기에서 직접적으로 생성되는 직접 플라즈마-생성 과정, 또는 대안적으로 플라즈마가 반응기의 외부에서 생성되고 반응기로 공급되는 원위 플라즈마-생성 과정을 포함할 수 있다.
오가노아미노실란 전구체는 버블링(bubbling), 베이퍼 드로우(vapor draw), 또는 직접 액체 주입(DLI)과 같은 다양한 방식으로 단일 웨이퍼 또는 뱃치(batch)로 PEALD 또는 PECCVD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 구체예에서, 액체 전달 시스템이 이용될 수 있다. 한 대안적 구체예에서, 저 휘발성 물질이 용적 측정으로 전달되는 것을 가능케 하여, 전구체의 열 분해 없이 재현가능한 수송 및 증착을 발생시키기 위해 조합된 액체 전달 및 플래시 증발 공정 유닛, 예를 들어, MSP Corporation of Shoreview, MN에 의해 제조된 터보 증발기(turbo vaporizer)가 이용될 수 있다. 액체 전달 제형에서, 본원에 기재된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로 용매 제형 또는 이를 포함하는 조성물로 이용될 수 있다. 따라서, 특정 구체예에서, 전구체 제형은 기판 상에 필름을 형성시키기 위해 제공된 최종 용도 적용에서 요망되고 이로울 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
특정 구체예에서, 전구체 캐니스터로부터 반응 챔버까지 연결하는 가스 라인은 공정 필요조건에 따라 하나 이상의 온도로 가열되고, 본원에 기재된 화학식 I 내지 III를 갖는 오가노아미노실란 전구체의 용기는 버블링을 위해 하나 이상의 온도에서 유지된다. 다른 구체예에서, 본원에 기재된 화학식을 갖는 적어도 하나의 질화규소 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도에서 유지된 기화기로 주입된다.
아르곤 및/또는 기타 불활성 가스의 유동은 전구체 펄싱 동안 반응 챔버로 적어도 하나의 오가노아미노실란 전구체의 증기를 전달하는 것을 돕기 위한 담체 가스로 이용될 수 있다. 특정 구체예에서, 반응 챔버 공정 압력은 약 2 Torr 또는 그 미만이다. 또 다른 구체예에서, 반응 챔버 공정 압력은 약 10 Torr 또는 그 미만이다.
통상적인 PEALD 또는 PECCVD 또는 PEALD-유사 공정에서, 기판, 비제한적인 예로, 산화규소, 탄소 도핑된 산화규소, 가요성 기판, 또는 금속 니트라이드 기판이 먼저 오가노아미노실란이 기판의 표면상으로 화학적으로 흡착되는 것을 가능케 하기 위해 질화규소 전구체에 노출되는 반응 챔버 내에서의 히터 단계에서 가열된다. 퍼지 가스, 예를 들어, 질소, 아르곤, 또는 기타 불활성 가스는 공정 챔버로부터 흡착되지 않은 과량의 오가노아미노실란을 퍼징시킨다. 충분한 퍼징 후, 질소-함유 공급원은 흡착된 표면과 반응시키기 위해 반응 챔버로 도입될 수 있고, 이후 챔버로부터 반응 부산물을 제거하기 위해 또 다른 가스 퍼지가 도입될 수 있다. 공정 주기는 요망되는 필름 두께를 달성하기 위해 반복될 수 있다. 또 다른 구체예에서, 진공 하에서의 펌핑이 공정 챔버로부터 흡착되지 않은 과량의 오가노아미노실란을 제거하기 위해 사용될 수 있고, 펌핑 하에서의 충분한 배출 후, 질소-함유 공급원이 흡착된 표면과 반응시키기 위해 반응 챔버로 도입될 수 있고, 이후 챔버로부터 반응 부산물을 제거하기 위해 또 다른 펌핑 다운 퍼지가 도입될 수 있다.
한 양태에서, 하기 단계를 포함하는 질화규소 막을 형성시키는 방법이 제공된다:
a. 반응기 내에 기판을 제공하는 단계;
b. 하기 화학식 I, II 및 III로 표현되는 적어도 하나의 오가노아미노실란을 반응기에 도입시키는 단계로서,
Figure pat00006
상기 식에서, R1은 선형 또는 분지형 C3 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, 전자 끄는 기, 및 C6 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C6 알케닐기, 선형 또는 분지형 C3 내지 C6 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, 선형 또는 분지형 C1 내지 C6 플루오르화된 알킬기, 전자 끄는 기, 및 C4 내지 C10 아릴기로부터 선택되고; 임의로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하고, 화학식 III에서 n은 1 또는 2이고, 여기서 적어도 하나의 오가노아미노실란은 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는, 단계;
c. 반응기를 퍼지 가스로 퍼징시키는 단계;
d. 질소 및 불활성 가스를 포함하는 플라즈마를 반응기로 도입시켜, 화학흡착된 층의 적어도 일부와 반응시키고, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도로 발생되는 적어도 하나의 반응 부위를 제공하는 단계; 및
e. 임의로 반응기를 불활성 가스로 퍼징시키는 단계; 상기 단계 b 내지 e는 요망되는 두께의 질화규소 막이 수득될 때까지 반복된다. 특정 구체예에서, R12는 동일하다. 다른 구체예에서, R1 및 R2는 상이하다. 상기 또는 기타 구체예에서, R1 및 R2는 함께 연결되어 고리를 형성할 수 있다. 또 다른 추가 구체예에서, R1 및 R2는 함께 연결되지 않고 고리를 형성한다. 임의로, 수소를 포함하는 플라즈마는 오가노아미노실란과 표면 사이의 반응으로부터 발생된 탄화수소를 제거하는 것을 돕기 위해 단계 d 전에 삽입될 수 있다. 수소를 포함하는 플라즈마는 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 구성된 군으로부터 선택된다.
또 다른 양태에서, 하기 단계를 포함하는 플라즈마 강화 원자 층 증착 공정 또는 플라즈마 강화 ALD-유사 공정을 통해 질화규소 막을 형성시키는 방법이 제공된다:
a. 반응기에 기판을 제공하는 단계;
b. 반응기에 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, N-이소프로필사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-프로필아미노디실란, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 구성된 군으로부터 선택된 적어도 하나의 오가노아미노실란 전구체를 도입시키는 단계로서, 상기 적어도 하나의 오가노아미노실란이 기판의 표면의 적어도 일부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
c. 반응기를 질소, 희가스, 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 퍼지 가스로 퍼징시키는 단계;
d. 질소-함유 플라즈마를 반응기에 도입시켜, 화학흡착된 층의 적어도 일부와 반응시키고, 플라즈마가 약 0.01 내지 약 1.5 W/cm2 범위의 출력 밀도로 발생되는 적어도 하나의 반응 부위를 제공하는 단계; 및
e. 임의로 반응기를 불활성 가스로 퍼징시키는 단계; 여기서, 단계 b 내지 e는 요망되는 두께의 질화규소 막이 수득될 때까지 반복된다.
상기 단계는 본원에 기재된 방법에 대한 한 주기를 규정하며, 주기는 요망되는 두께의 질화규소 막이 수득될 때까지 반복될 수 있다. 상기 또는 기타 구체예에서, 본원에 기재된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적 또는 동시(예를 들어, 또 다른 단계의 적어도 일부 동안)에 수행될 수 있고, 이들의 조합으로 수행될 수 있음이 이해된다. 전구체 및 산소-함유 소스를 공급하는 각각의 단계는 생성되는 질화규소 막의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 기간을 다양화시킴으로써 수행될 수 있으나, 항상 이용가능한 규소에 비해 더 적은 화학량론적 양의 질소를 이용한다.
본원에 기재된 방법의 특정 구체예에서, 단계 b 내지 e는 약 0.1 내지 약 500Å 또는 약 0.1 내지 약 5Å 또는 약 0.1 내지 약 10Å, 또는 약 0.1 내지 약 50Å 또는 0.1 내지 100Å 범위의 두께를 갖는 질화규소 막을 제공하도록 반복된다. 본원에 기재된 방법의 한 특정 구체예에서, 수소를 포함하는 플라즈마는 오가노아미노실란과 표면 사이의 반응으로부터 생성되는 탄화수소를 제거하는 것을 돕기 위해 단계 d 전에 삽입될 수 있다. 수소를 포함하는 플라즈마는 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 구성된 군으로부터 선택된다.
특정 구체예에서, 생성된 질화규소 함유 필름 또는 코팅은 증착후 처리, 비제한적인 예로, 플라즈마 처리, 화학적 처리, 자외선 광 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출될 수 있다.
특정 구체예에서, 본원에 기재된 질화규소 함유 필름은 6 또는 그 미만의 유전 상수를 갖는다. 상기 또는 다른 구체예에서, 필름은 약 5 또는 그 미만, 또는 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만의 유전 상수를 가질 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮은 유전 상수)를 갖는 필름이 필름의 요망되는 최종 용도에 따라 형성될 수 있음이 예견된다. 본원에 기재된 오가노아미노실란 전구체 및 공정을 이용하여 형성되는 실리콘 함유 또는 질화규소 막의 한 예는 제형 SixOyCzNvHw를 가지며, 여기서 Si는 약 약 10% 내지 약 40% 범위이고; O는 약 0% 내지 약 5% 범위이고; C는 약 0% 내지 약 10% 범위이고; N은 약 0% 내지 약 75% 또는 약 0% 내지 50% 범위이고; H는 약 0% 내지 약 10% 범위의 원자 중량 퍼센트 %이고, 여기서, 예를 들어, XPS 또는 다른 수단에 의해 결정시 x+y+z+v+w는 100 원자 중량 퍼센트이다.
필름이 희가스를 포함하는 플라즈마를 이용하여 증착되는 한 특정 구체예에서, 질화규소 막은, 예를 들어, XPS 또는 기타 수단에 의해 결정시 약 5% 내지 약 50%의 탄소 원자 중량 퍼센트를 포함한다. 이러한 특정 구체예에서, Si가 약 10% 내지 약 40% 범위이고; O가 약 0% 내지 약 5% 범위이고; N이 약 0% 내지 약 75% 또는 약 0% 내지 50% 범위이고; H가 약 0% 내지 약 10% 범위의 원자 중량 퍼센트 %이고, 필름의 전체 중량 퍼센트가 100 원자 중량 퍼센트까지 합계되는 질화규소 막을 추가로 포함한다.
상기 언급된 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부 상에 질화규소 막을 증착시키기 위해 사용될 수 있다. 적합한 기판의 예는, 비제한적인 예로, 규소, SiO2, Si3N4, OSG, FSG, 탄화규소, 수소화된 탄화규소, 질화규소, 수소화된 질화규소, 실리콘 칼보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 가요성 기판, 예를 들어, IGZO, 유기 중합체, 다공성 유기 및 무기 물질, 금속, 예를 들어, 구리 및 알루미늄, 및 확산 장벽 층, 비제한적인 예로, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함한다. 필름은 다양한 이후의 공정 단계, 예를 들어, 화학적 기계적 평탄화(CMP) 및 비등방성 에칭 공정과 양립된다.
증착된 막은 컴퓨터 칩, 광학 장치, 자기 정보 저장, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계 시스템(microelectromechanical system: MEMS), 나노전자기계 시스템, 박막 트랜지스터(thin film transistor: TFT), 발광 다이오드(light emitting diode: LED), 유기 발광 다이오드(organic light emitting diode: OLED), IGZO, 및 액정 디스플레이(liquid crystal display: LCD)를 포함하지만, 이로 제한되지 않는 적용들을 지닌다.
하기 실시예는 본원에 기재된 질화규소 막을 증착시키기 위한 방법을 예시한 것이고, 어떠한 방식으로 이를 제한하고자 의도된 것이 아니다.
실시예
하기 실시예에서, 달리 명시되지 않는 한, 특성들은 중간 저항(14-17Ω-cm) 단결정 실리콘 웨이퍼 기판 상에 증착된 샘플 막으로부터 얻어졌다. 300mm 생산 툴, ASM Stellar 3000 PEALD 반응기, 또는 CN-1, 150mm 실험실용 규모 샤워헤드형 ALD 반응기를 사용하여 모든 막 증착을 수행하였다.
ASM Stellar 반응기 툴은 단일 웨이퍼 생산 툴이다. 반응기 챔버는 27.1MHz 직접 플라즈마가 장착된 측면 접이식 반응기(Folded Lateral Reactor: FLR)였다. 전형적인 증착에서, 달리 명시되지 않는 한, 챔버 압력을 2torr로 고정시켰다. 챔버 압력을 유지하기 위하여 추가의 불활성 가스를 증착 동안에 사용하였다. 오가노아미노실란 전구체를 15Torr에서 증기 유도 또는 1Torr 증기압에서 200 분당 표준 입방 센티미터(sccm)의 Ar 가스와 함께 사용하여 반응기 챔버에 전달하였다. 0.7W/cm2의 출력 밀도를 제공한 약 300mm 웨이퍼의 전극 면적에 걸쳐서 500W의 RF 플라즈마 출력을 사용하여 비교예를 포함한 모든 실시예를 실시하였다.
CN-1 반응기는 13.56MHz 직접 플라즈마와 함께 샤워헤드 설계를 가졌다. 전형적인 공정 조건에서, 달리 명시되지 않는 한, 챔버 압력을 2Torr로 고정시켰다. 챔버 압력을 유지하기 위해서 추가의 불활성 가스를 사용하였다. 오가노아미노실란 전구체를 14Torr 증기압에서 50sccm의 Ar을 사용하여 전달하거나, 질소-단독 공정의 경우에, 전구체를 증기 유도(즉, 아르곤이 전혀 사용되지 않음)를 이용하여 전달하였다. 사용된 전형적인 RF 전력은 0.7W/cm2의 출력 밀도를 제공하는 150mm 웨이퍼의 전극 면적에 걸쳐 125W였다. 막 증착은 표 2에 열거된 단계들을 포함하였다. 표 2에서의 단계 1 내지 4는 하나의 REALD 사이클을 구성하고, 이를 반복하였으며, 달리 명시되지 않는 한, 요망되는 막 두께를 얻을 때까지 총 1000회 반복하였다.
표 2. PEALD 질화규소 함유 막에 이용된 단계
Figure pat00007
증착된 막에 대한 반응성 지수(RI) 및 두께를 엘립소미터를 사용하여 측정하였다. 막 비균일도를 다음 표준 방정식을 사용하여 계산하였다: % 비균일도 = ((최대 두께 - 최소 두께)/2*평균(avg) 두께)). 막 구조 및 조성을 푸리에 변환 적외선(Fourier Transform Infrared: FTIR) 분광기 및 X-선 광전자 분광기(X-Ray Photoelectron Spectroscopy: XPS)를 사용하여 분석하였다. 막에 대한 밀도를 X-선 반사 측정기(X-ray Reflectometry: XRR)로 측정하였다. 습윤 에칭율을 1:99의 49% HF 물 비인 희석 HF 용액으로 수행하였다. 열적 산화물 SiO2 막을 동일한 스케쥴로 에칭시켜 용액 농도 컨시스턴시를 확인하였다.
비교예 1. 비스(3차-부틸아미노)실란 (BTBAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃의 온도로 가열하였다. 증착 공정은 표 2에 기재된 바와 같으며, 하기 공정 조건 하에서 2000회 반복하였다.
1. 오가노아미노실란 전구체 전달: 비스(3차-부틸아미노)실란 (BTBAS)
전달 방법: 증기 유도
아르곤 흐름: 300 sccm
BTBAS 펄스: 1 초
2. 불활성 가스 퍼지
아르곤 흐름: 300 sccm
퍼지 시간: 2 초
3. 플라즈마 온
아르곤 흐름: 300 sccm
질소 흐름: 400 sccm
플라즈마 출력: 500W (0.7 W/cm2)
플라즈마 시간: 5 초
4. 퍼지 플라즈마
아르곤 흐름: 300 sccm
퍼지 시간: 2 초
질화규소 막을 1.84의 굴절률로 0.41 Å/사이클에서 증착시켰다. 막은 2.3 g/cc의 밀도와 함께 1.3%의 산소 및 11.7%의 탄소를 함유하였다. 막 습윤 에칭율은 4.5 Å/sec (27 nm/min)보다 높았다.
비교예 2. 비스(디에틸아미노)실란 (BDEAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃의 온도로 가열하였다. 증착 공정은 비교예 1에 기재된 바와 동일하였다.
질화규소 막을 1.88의 굴절률로 0.22 Å/사이클에서 증착시켰다. 막은 2.2 g/cc의 밀도와 함께 3.9%의 산소 및 11.9%의 탄소를 함유하였다. 막 습윤 에칭율은 3.75 Å/sec (>27 nm/min)보다 높았다.
비교예 3. 비스(3차-부틸아미노)실란 (BTBAS)및 Ar/NH3 플라즈마를 사용한 PEALD 질화규소 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. 증착 공정은 표 2에 기재된 바와 같이 실시하였고, 하기 공정 조건 하에서 1000회 반복하였다.
1. 오가노아미노실란 전구체 전달: 비스(3차-부틸아미노)실란 (BTBAS)
전달 방법: 증기 유도
아르곤 흐름: 300 sccm
BTBAS 펄스: 1 초
2. 불활성 가스 퍼지
아르곤 흐름: 300 sccm
퍼지 시간: 2 초
3. 플라즈마 온
아르곤 흐름: 300 sccm
암모니아 흐름: 400 sccm
플라즈마 출력: 500W (0.7 W/cm2)
플라즈마 시간: 5 초
4. 퍼지 플라즈마
아르곤 흐름: 300 sccm
퍼지 시간: 2 초
최소 증착율 (<0.05 Å/사이클)을 지니는 질화규소 막이 관찰되었다. 막은 굴절률을 정화하게 측정하기에 너무 얇았다.
실시예 1. 디-이소-프로필아미노실란 (DIPAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. 증착 공정은 표 2에 기재된 바와 같은 단계를 이용하여 수행하였고, 1000회 반복하였다. 이용된 공정 조건은 전구체 펄스를 0.5 내지 5 초로 달리하면서 비교예 1에 기재된 바와 동일하였다. 증착율 및 굴절률은 하기 표 3에 요약되어 있다.
표 3. DIPAS 및 Ar/N2 플라즈마를 사용한 질화규소 함유 막에 대한 증착된 막의 증착율 및 굴절률
Figure pat00008
표 4. DIPAS 및 Ar/N2 플라즈마를 사용한 질화규소 막의 특성
Figure pat00009
막 2, 5 및 6을 추가의 막 특징에 대한 실시예를 나타내기 위해서 선택하였다. 이러한 막에 대한 희석 HF에서의 막 불순물, 밀도 및 습윤 에칭율을 측정하였고, 그 결과는 하기 표 4에 제공되어 있다. 표 4에서의 결과가 나타내는 바와 같이, DIPAS로부터 증착된 질화규소 막은 비교예 1의 BTBAS 증착된 막보다 낮은 탄소 함량, 높은 밀도, 및 낮은 습윤 에칭율을 가졌다.
실시예 2. 디-2차-부틸아미노실란 (DSBAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 함유 막
증착 공정을 표 2에 기재된 단계를 이용하여 수행하였고, 1000회 반복하였다. 이용된 공정 조건은 전구체 펄스를 0.2 내지 5 초의 범위로 달리하면서 비교예 1에 기재된 바와 동일하였다. 모든 증착된 막에 대한 증착율 및 굴절률을 측정하였고, 이는 하기 표 5에 제공되어 있다. 증착율와 굴절률 둘 모두는 실시예 1에 나타나 있는 DIPAS와 일관됨을 나타냈다.
표 5. DSBAS 및 Ar/N2 플라즈마를 사용한 질화규소 함유 막에 대한 증착된 막의 증착율 및 굴절률
Figure pat00010
실시예 3. 다양한 백분율의 아르곤 (Ar)으로 디-2차-부틸아미노실란 (DSBAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 함유 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. DSBAS를 실온에서 200sccm의 Ar 캐리어 가스를 사용하여 1Torr의 증기압의 챔버로 전달하였다. 기판 온도를 300℃로 설정하였다. 가스 및 전구체 라인 온도를 이에 따라서 조절하여 반응기 전에 응축을 방지하였다. 표 2에 기재된 단계를 이용하고 하기 공정 파라미터를 이용하여 증착을 실시하였다.
1. 오가노아미노실란 전구체를 반응기에 도입: DSBAS
아르곤 흐름 = 300 sccm
Si 전구체 펄스: 1 초
2. 불활성 가스 퍼지
아르곤 흐름: 300 sccm
퍼지 시간: 5 초
3. 플라즈마 온
아르곤 흐름: 325 내지 425 sccm
질소 흐름: 75 내지 200 sccm
Ar과 질소의 전체 흐름: 500 sccm
챔버 압력: 2 Torr
플라즈마 출력: 500W (0.7 W/cm2)
플라즈마 시간: 5 초
4. 퍼지 플라즈마
아르곤 흐름: 300 sccm
챔버 압력: 2 Torr
퍼지 시간: 0.5 초
DSBAS, 및 Ar 대 N2의 다양한 비율을 이용한 Ar/N2 플라즈마로 증착된 질화규소 막에 대한 사이클 당 성장(GPC) 및 굴절률을 계산하였고, 이는 표 6에 제공되어 있다.
표 6. 디-2차-부틸아미노실란 (DSBAS)으로부터 증착된 막의 GPC 및 굴절률
Figure pat00011
표 7은 디-2차-부틸아미노실란 (DSBAS), 및 아르곤 대 질소의 다양한 비율의 Ar/N2 플라즈마로부터 증착된 질화규소 함유 막에 대한 XPS에 의해 측정된 탄소 함량, 및 희석 HF 습윤 에칭율 비교를 포함한다. 아르곤과 질소 흐름을 최적화시키면 막 특성에 영향을 미치지 않으면서 사이클 당 성장(GPC) 및 막 균일도가 개선된다. 어떠한 경우에, 증착된 막의 탄소 함량은 6-7%이고, 에칭율은 0.50 Å/s이고, 막 밀도는 2.8 g/cc였다.
표 7. 디-2차-부틸아미노실란 (DSBAS)으로부터의 막 특성
Figure pat00012
실시예 4: 페닐메틸아미노실란 (PMAS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 함유 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. PMAS를 실온에서 200sccm의 Ar 캐리어 가스를 사용하여 1Torr의 증기압의 챔버로 전달하였다. 기판 온도를 300℃로 설정하였다. 가스 및 전구체 라인 온도를 이에 따라서 조절하여 반응기 전에 응축을 방지하였다. 실시예 3에 제공된 공정 파라미터와 유사한 공정 파라미터 하에서 표 2에 기재된 단계를 이용하여 증착을 실시하였다. 플라즈마 단계 또는 단계 3 동안, 아르곤과 질소 흐름은 각각 300 sccm 및 200 sccm였다. 생성된 막은 0.18 Å/사이클의 사이클 당 성장 및 1.95의 굴절률을 가졌다. 막은 또한 0.77 Å/s의 희석 HF WER을 가졌다.
실시예 5: 페닐메틸아미노디실란 (PMADS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 함유 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. PMAS를 실온에서 200sccm의 Ar 캐리어 가스를 사용하여 1Torr의 증기압의 챔버로 전달하였다. 기판 온도를 300℃로 설정하였다. 가스 및 전구체 라인 온도를 이에 따라서 조절하여 반응기 전에 응축을 방지하였다. 표 2에 기재된 단계 및 실시예 3에 제공된 공정 파라미터를 이용하여 증착을 실시하였다. 플라즈마 단계 또는 단계 3 동안, 아르곤과 질소 흐름은 각각 300 sccm 및 200 sccm였다. 생성된 막은 0.22 Å/사이클의 사이클 당 성장 및 1.94의 굴절률을 가졌다. 막은 또한 0.77 Å/s의 희석 HF WER 및 7.0% 원자 중량%(at.%)의 탄소 불순물을 가졌다.
실시예 6: 디-이소-프로필아미노디실란 (DIPADS) 및 Ar/N2 플라즈마를 사용한 PEALD 질화규소 함유 막
실리콘 웨이퍼를 Stellar 3000 PEALD 반응기에 투입하고, 약 2Torr의 챔버 압력과 함께 300℃로 가열하였다. DIPADS를 실온에서 증기 유도를 이용하여 15Torr에서 챔버로 전달하였다. 기판 온도를 300℃로 설정하였다. 가스 및 전구체 라인 온도를 이에 따라서 조절하여 반응기에 도달하기 전에 응축을 방지하였다. 표 2에 기재된 단계를 이용하고 실시예 3에 제공된 공정 파라미터를 이용하여 증착을 실시하였다. 플라즈마 단계 또는 단계 3 동안, 아르곤과 질소 흐름은 각각 300 sccm 및 200 sccm였다. 생성된 막은 0.26 Å/사이클의 사이클 당 성장 및 1.92의 굴절률을 가졌다. 막은 또한 0.67 Å/s의 희석 HF WER 및 4.9 at.%의 탄소 불순물을 가졌다.
실시예 7. 디-2차-부틸아미노실란(DSBAS) 및 비스(t-부틸아미노)실란(BTBAS) 전기적 성질을 이용하여 증착된 PEALD 막들의 전기적 성질의 비교
ASM Stellar PEALD 툴에서 모노아미노실란 전구체 또는 디-2차-부틸아미노실란 (DSBAS) 및 이후 비스-아미노실란 전구체 또는 비스(t-부틸아미노)실란(BTBAS) 및 비스(디에틸아미노)실란(BDEAS)을 사용하여 막들을 증착하였다. 상기 전구체를 실온에서 200 sccm Ar 운반 가스를 사용하여 1 Torr 증기압에서 챔버로 전달하였다. 기판 온도를 300℃로 설정하였다. 표 2에 제공된 공정 단계들을 이용하고 실시예 3에 기술된 공정 조건을 이용하고, 플라즈마 단계 또는 단계 3 동안에 375 sccm의 Ar 및 125 sccm의 N2를 사용하여 증착을 수행하였다.
DSBAS 및 BTBAS로부터의 증착된 막의 누설 전류 비교는 도 1에 도시되어 있다. 도 1은, DSBAS 증착된 막이 낮은 전기장(E)(<4 MV/cm)에서 10배 이상 높은 더욱 양호한 누설 전류(J)를 갖는 것을 나타낸다.
실시예 8. 디-2차-부틸아미노실란(DSBAS) 및 비스(t-부틸아미노)실란(BTBAS) 및 비스(디에틸아미노)실란(BDEAS)을 사용하여 증착된 PEALD 막들의 비교
ASM Stellar 3000 PEALD 툴에서 전구체 디-2차-부틸아미노실란(DSBAS) 및 비스(t-부틸아미노)실란(BTBAS) 및 비스(디에틸아미노)실란(BDEAS)을 사용하여 막들을 증착하였다. 전구체들 각각을 실온에서 200 sccm Ar 운반 가스를 사용하여 1 Torr 증기압에서 챔버로 전달하였다. 기판 온도를 200℃로 설정하였다. 이에 따라, 반응기 이전에 응결을 방지하기 위하여 가스 및 전구체 라인 온도를 조정하였다. 상술된 것 이외에, 증착을 실시예 7에 기술된 바와 같이 수행하였다. 각 증착된 막에 대한 사이클 당 굴절률 및 성장을 증착 직후에 측정하고, 표 8에 제공하였다.
표 8. 200℃에서 DSBAS, BTBAS, 및 BDEAS로부터 증착된 증착시 막(As-Deposited Film)들의 비교
Figure pat00013
표 8을 참조로 하여, 모노아미노실란 전구체 DSBAS를 사용하여 증착된 막이 가장 높은 굴절률을 가졌는데, 이는 이러한 것이 보다 나은 질화규소 막임을 명시하는 것이다. 비스아미노실란들 중에서, BDEAS가 가장 낮은 굴절률, 즉 1.54를 가졌는데, 이는 보다 많은 산화규소 유사 막을 명시하는 것이다. 샘플들을 주변 대기에서 저장한 후에 이러한 막들의 굴절률을 다시 측정하였다. 도 2는, 막들의 굴절률이 산화로 인하여 시간에 따라 감소함을 나타낸다. 세 가지 막들 중에서, 모노아미노실란-증착 막 DSBAS 막은 비스아미노실란 증착 막 또는 BTBAS 또는 BDEAS 중 어느 하나 보다 더욱 높은 안정성을 갖는다. 비스아미노실란-증착 막들 중에서, BTBAS 막은 주변에서 대략 1일(~24 시간) 후에 1.75에서 1.57로 굴절률 감소를 나타내었다. 나머지 비스아미노실란-증착 막 또는 BDEAS는 훨씬 더 나쁜 안정성을 나타내었거나, 막 굴절률이 증착 직후에 1.57이다. 이러한 막들을 주변에서 150시간(7일) 동안 놓은 후에 XPS를 통해 조성에 대해 분석하였다. XPS 분석은 비스(아미노)실란-증착 막 또는 BDEAS 및 BTBAS 증착 막 둘 모두가 58% O, 6% C 및 3.5% N을 함유한 반면, 모노아미노실란-증착 막 또는 DSBAS 막이 2.5% O, 13.9% C 및 41% N을 함유함을 나타내었다. 이에 따라, DSBAS 증착 막은 BTBAS 또는 BDEAS 증착 막 중 어느 하나 보다 더욱 안정하였다.
실시예 9. CN-1 샤워 헤드 타입 반응기를 이용하여 디-이소-프로필아미노실란(DIPAS)을 사용한 PEALD 질화규소 함유 막
규소 웨이퍼를 CN-1 PEALD 반응기에 로딩하고 2 torr의 챔버 압력과 함께 300℃로 가열하였다. DIPAS를 버블링법(bubbling method)을 이용하여 반응기로 전달하였다. ALD 사이클은 표 2에 제공되고 하기 공정 파라미터를 이용하는 공정 단계들로 이루어졌다:
1. 오가노아미노실란 전구체를 반응기에 도입
DIPAS: 전구체 용기를 관통하는 50 sccm 아르곤
아르곤 흐름: 100 sccm
Si 전구체 펄스: 1 초
2. 불활성 가스 퍼징
아르곤 및 질소의 전체 흐름 500 sccm
퍼지 시간: 10 초
3. 플라즈마 온(plasma on)
Ar 흐름: 0 내지 500 sccm
질소 흐름: 0 내지 500 sccm
Ar 및 질소의 전체 흐름: 500 sccm
플라즈마 출력: 125W (0.7 W/㎠)
플라즈마 시간: 5 초
4. 플라즈마 퍼징
아르곤 및 질소의 전체 흐름: 500 sccm
퍼지 시간: 10 초
표 9는 공정 파라미터, 및 DIPAS를 사용하여 증착된 막들의 막 성질을 제공한다. 통상적인 DIPAS 막들은 1.2 내지 2.2%의 탄소 불순물을 갖는다.
표 9. 공정 파라미터, 및 DIPAS를 사용하여 증착된 질화규소 함유 막들의 성질
Figure pat00014
실시예 10. CN-1 샤워 헤드 타입 반응기에서 디-이소-프로필아미노실란(DIPAS)을 사용한 PEALD 규소 및 질소 함유 막
디-이소-프로필아미노실란(DIPAS) 및 He/N2 플라즈마로부터 규소 함유 막들을 증착하였다. 공정 파라미터 및 ALD 단계들은, Ar 가스 대신에 헬륨을 사용하는 것을 제외하고는 실시예 9에 기술된 것과 동일하였다. 표 10은 He/N2 플라즈마를 사용하여 증착된 막들 및 매우 낮은 묽은 HF 습윤 내에칭성(wet etch resistance; WER)을 나타낸다. 시험된 모든 He/N2 비에서, 막들은 WER >22.1 nm/분, 및 1.85 보다 더욱 낮은 굴절률을 갖는다.
표 10. 공정 파라미터, 및 DIPAS 및 He/N2 플라즈마로부터 증착된 질화규소 함유 막들의 막 성질
Figure pat00015
실시예 11. CN-1 샤워 헤드 타입 반응기에서 디-이소-프로필아미노실란(DIPAS)을 사용한 PEALD 질화규소 함유 막
하기 세부 공정 파라미터들과 함께 300℃ 및 2 torr의 챔버 압력에서 스크리닝(screening) PEALD 반응기를 이용하여 디-이소-프로필아미노실란(DIPAS) 및 단지 N2 플라즈마로부터 Si 함유 막들을 증착하였다:
1. 유기아미노실란 전구체를 반응기에 도입: DIPAS
전달 방법: 증기 인출(vapor draw)
Si 전구체 펄스: 1 초
N2 흐름: 500 sccm
2. 불활성 가스 퍼징
N2 흐름: 500 sccm
퍼지 시간: 10 초
3. 플라즈마 온
질소 흐름: 500 sccm
플라즈마 출력:125W (0.7 W/㎠)
플라즈마 시간: 5 초
4. 플라즈마 퍼징
질소 흐름: 500 sccm
퍼지 시간: 10 초
사이클 당 성장은 0.15 Å/사이클이며, 굴절률은 1.93이다.

Claims (22)

  1. 적어도 기판의 표면 상에 질화규소 막을 형성하는 방법으로서,
    a) 기판을 반응기에 제공하는 단계;
    b) 반응기에 하기 화학식 (I), (II) 및 (III)으로 표현되는 하나 이상의 오가노아미노실란을 도입하는 단계로서, 하나 이상의 오가노아미노실란이 기판 표면의 일부 또는 전부 상에서 반응하여 화학흡착된 층(chemisorbed layer)을 제공하는 단계;
    c) 반응기를 퍼지 가스(purge gas)로 퍼징하는 단계;
    d) 질소 및 불활성 가스를 포함하는 플라즈마를 반응기에 도입하여 화학흡착된 층의 일부 또는 전부와 반응시키고 하나 이상의 반응성 사이트(reactive site)를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도(power density)로 발생되는 단계; 및
    e) 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
    질화규소 막의 요망되는 두께가 얻어질 때까지 단계 b) 내지 단계 e)가 반복되는, 방법:
    Figure pat00016

    상기 식에서, R1은 선형 또는 분지형 C3 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C1 내지 C6 디알킬아미노기, 전자 끄는 기, 및 C6 내지 C10 아릴기로부터 선택되며, R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C6 알케닐기, 선형 또는 분지형 C3 내지 C6 알키닐기, C1 내지 C6 디알킬아미노기, C6 내지 C10 아릴기, 선형 또는 분지형 C1 내지 C6 플루오르화된 알킬기, 전자 끄는 기, 및 C4 내지 C10 아릴기로부터 선택되며, 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성하며,
    화학식 (III)에서, n은 1 또는 2이다.
  2. 제 1항에 있어서, 하나 이상의 오가노아미노실란 전구체가 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, N-이소프로필사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-프로필아미노디실란, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 이루어진 군으로부터 선택되는 방법.
  3. 제 1항에 있어서, 플라즈마가 네온(Ne), 아르곤(Ar), 제논(Xe), 크립톤(Kr) 및 이들의 혼합물로 이루어진 군으로부터 선택된 희가스(noble gas)를 포함하는 방법.
  4. 제 1항에 있어서, 질화규소 막이 2.4 g/cc 또는 그 초과의 밀도를 갖는 방법.
  5. 제 1항에 있어서, 방법이 증기 증착 공정이고, 플라즈마 강화 화학적 증기 증착 및 플라즈마 강화 사이클릭 화학적 증기 증착으로부터 선택된 하나 이상으로 이루어진 군으로부터 선택되는 방법.
  6. 제 1항에 있어서, 방법이 약 400℃ 또는 그 미만의 하나 이상의 온도에서 수행되는 방법.
  7. 제 1항에 있어서, 방법이 약 300℃ 또는 그 미만의 하나 이상의 온도에서 수행되는 방법.
  8. 제 1항에 있어서, 단계 b)가 희가스를 반응기에 도입하는 것을 추가로 포함하는 방법.
  9. 적어도 기판의 표면 상에 질화규소 막을 형성하는 방법으로서,
    a) 기판을 반응기에 제공하는 단계;
    b) 반응기에 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, N-이소프로필사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-프로필아미노디실란, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 이루어진 군으로부터 선택되는 하나 이상의 오가노아미노실란 전구체를 도입하는 단계로서, 하나 이상의 오가노아미노실란이 기판 표면의 일부 또는 전부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
    c) 반응기를 질소, 희가스 및 이들의 조합으로부터 선택된 하나 이상을 포함하는 퍼지 가스로 퍼징하는 단계;
    d) 질소-함유 플라즈마를 반응기에 도입하여 화학흡착된 층의 일부 또는 전부와 반응하고 하나 이상의 반응성 사이트를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도로 발생되는 단계; 및
    e) 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하며,
    질화규소 막의 요망되는 두께가 얻어질 때까지 단계 b) 내지 단계 e)가 반복되는 방법.
  10. 제 9항에 있어서, 질화규소 막이 2.4 g/cc 또는 그 초과의 밀도를 갖는 방법.
  11. 제 9항에 있어서, 방법이 플라즈마 강화 화학적 증기 증착 및 플라즈마 강화 사이클릭 화학적 증기 증착으로부터 선택된 하나 이상으로 이루어진 군으로부터 선택된 증기 증착 공정인 방법.
  12. 제 9항에 있어서, 방법이 400℃ 또는 그 미만의 온도에서 수행되는 방법.
  13. 제 9항에 있어서, 방법이 300℃ 또는 그 미만의 온도에서 수행되는 방법.
  14. 제 9항에 있어서, 질소-함유 플라즈마가 질소 플라즈마, 아르곤/질소 플라즈마, 네온/질소 플라즈마, 크립톤/질소 플라즈마, 제논/질소 플라즈마, 및 이들의 조합으로 이루어진 군으로부터 선택되는 방법.
  15. 제 9항에 있어서, 단계 b)가 희가스를 반응기에 도입하는 것을 추가로 포함하는 방법.
  16. 제 9항에 있어서, 오가노아미노실란과 표면 간의 반응으로부터 발생되는 탄소수소를 제거하는데 도움을 주기 위하여 단계 d) 전에 수소를 포함하는 플라즈마가 삽입될 수 있는 방법.
  17. 제 16항에 있어서, 수소를 포함하는 플라즈마가 수소 플라즈마, 수소/헬륨, 수소/아르곤 플라즈마, 수소/네온 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  18. 적어도 기판의 표면 상에 질화규소 막을 형성하는 방법으로서,
    a) 기판을 반응기에 제공하는 단계;
    b) 반응기에 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노실란, N-에틸사이클로헥실아미노실란, N-이소프로필사이클로헥실아미노실란, 2-메틸피페리디노실란, N-실릴데카하이드로퀴놀린, 2,2,6,6-테트라메틸피페리디노실란, 2-(N-실릴메틸아미노)피리딘, N-t-부틸디실라잔, N-t-펜틸디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(2-메틸페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, N-(2,6-디-이소-프리필페닐)디실라잔, 디-이소-프로필아미노디실란, 디-이소-부틸아미노디실란, 디-2차-부틸아미노디실란, 2,6-디메틸피페리디노실란, N-메틸사이클로헥실아미노디실란, N-에틸사이클로헥실아미노디실란, 페닐메틸아미노디실란, 2-(N-디실릴메틸아미노)피리딘, N-페닐에틸디실란, N-이소프로필사이클로헥실아미노디실란, 1,1-(N,N'-디-3차-부틸에틸렌디아미노)디실란으로 이루어진 군으로부터 선택된 하나 이상의 오가노아미노실란 전구체를 도입하는 단계로서, 하나 이상의 오가노아미노실란이 기판 표면의 일부 또는 전부 상에서 반응하여 화학흡착된 층을 제공하는 단계;
    c) 반응기를 질소, 희가스 및 이들의 조합으로부터 선택된 하나 이상을 포함하는 퍼지 가스로 퍼징하는 단계;
    d) 희가스를 포함하는 플라즈마를 반응기에 도입하여 화학흡착된 층의 일부 또는 전부와 반응하고 하나 이상의 반응성 사이트를 제공하는 단계로서, 플라즈마가 약 0.01 내지 약 1.5 W/㎠ 범위의 출력 밀도로 발생되는 단계; 및
    e) 임의적으로, 반응기를 불활성 가스로 퍼징하는 단계를 포함하고,
    질화규소 막의 요망되는 두께가 얻어질 때까지 단계 b) 내지 단계 e)가 반복되는 방법.
  19. 제 18항에 있어서, 질화규소 막이 2.4 g/cc 또는 그 초과의 밀도를 갖는 방법.
  20. 제 18항에 있어서, 방법이 플라즈마 강화 화학적 증기 증착 및 플라즈마 강화 사이클릭 화학적 증기 증착으로부터 선택된 하나 이상으로 이루어진 군으로부터 선택된 증기 증착 공정인 방법.
  21. 제 18항에 있어서, 방법이 400℃ 또는 그 미만의 온도에서 수행되는 방법.
  22. 제 18항에 있어서, 희가스 플라즈마가 네온(Ne), 아르곤(Ar), 제논(Xe), 크립톤(Kr) 및 이들의 혼합물로 이루어진 군으로부터 선택된 희가스를 포함하는 방법.
KR1020170018917A 2013-10-03 2017-02-10 질화규소 막을 증착시키는 방법 KR102281913B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361886406P 2013-10-03 2013-10-03
US61/886,406 2013-10-03
US14/498,044 US9905415B2 (en) 2013-10-03 2014-09-26 Methods for depositing silicon nitride films
US14/498,044 2014-09-26
KR1020160150391A KR102256536B1 (ko) 2013-10-03 2016-11-11 질화규소 막을 증착시키는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160150391A Division KR102256536B1 (ko) 2013-10-03 2016-11-11 질화규소 막을 증착시키는 방법

Publications (2)

Publication Number Publication Date
KR20170018872A true KR20170018872A (ko) 2017-02-20
KR102281913B1 KR102281913B1 (ko) 2021-07-23

Family

ID=51663047

Family Applications (4)

Application Number Title Priority Date Filing Date
KR20140133394A KR20150040234A (ko) 2013-10-03 2014-10-02 질화규소 막을 증착시키는 방법
KR1020160150391A KR102256536B1 (ko) 2013-10-03 2016-11-11 질화규소 막을 증착시키는 방법
KR1020170018917A KR102281913B1 (ko) 2013-10-03 2017-02-10 질화규소 막을 증착시키는 방법
KR1020210064384A KR102478568B1 (ko) 2013-10-03 2021-05-18 질화규소 막을 증착시키는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR20140133394A KR20150040234A (ko) 2013-10-03 2014-10-02 질화규소 막을 증착시키는 방법
KR1020160150391A KR102256536B1 (ko) 2013-10-03 2016-11-11 질화규소 막을 증착시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210064384A KR102478568B1 (ko) 2013-10-03 2021-05-18 질화규소 막을 증착시키는 방법

Country Status (6)

Country Link
US (1) US9905415B2 (ko)
EP (1) EP2857552A3 (ko)
JP (1) JP6018149B2 (ko)
KR (4) KR20150040234A (ko)
CN (1) CN104831254B (ko)
TW (1) TWI565822B (ko)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
KR102245160B1 (ko) * 2015-07-31 2021-04-26 버슘머트리얼즈 유에스, 엘엘씨 실리콘 니트라이드 필름을 증착시키기 위한 조성물 및 방법
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN108713243B (zh) 2016-03-11 2022-11-01 大阳日酸株式会社 硅氮化膜的制造方法及硅氮化膜
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102451069B1 (ko) * 2016-09-01 2022-10-05 에이에스엠 아이피 홀딩 비.브이. 탄화수소-기반 극박막을 형성하여 층을 보호하기 위한 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102105977B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실릴아민 화합물, 이를 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI762194B (zh) 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11107674B2 (en) * 2019-01-24 2021-08-31 Applied Materials, Inc. Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11965239B2 (en) * 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111883543B (zh) * 2020-07-28 2022-09-27 北海惠科光电技术有限公司 阵列基板的制作方法、阵列基板和显示装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11658025B2 (en) 2021-01-18 2023-05-23 Applied Materials, Inc. Chalcogen precursors for deposition of silicon nitride
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230126516A1 (en) * 2021-10-27 2023-04-27 Asm Ip Holding B.V. Methods and systems for forming doped silicon nitride films
KR102638053B1 (ko) 2021-11-15 2024-02-16 이지운 파손 시 부력을 유지하는 기능을 갖는 부구 및 그 제조방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297814A (ja) * 2002-04-01 2003-10-17 Nec Corp 薄膜形成方法および半導体装置の製造方法
KR20060123239A (ko) * 2003-10-31 2006-12-01 에비자 테크놀로지, 인크. 실리콘 질화물의 저온 증착
KR20120132403A (ko) * 2011-05-24 2012-12-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
EP2574611A1 (en) * 2011-09-27 2013-04-03 Air Products And Chemicals, Inc. Halogenated Organoaminosilane Precursors and Methods for Depositing Films Comprising Same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
CN101572232B (zh) * 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100821082B1 (ko) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US9447287B2 (en) 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297814A (ja) * 2002-04-01 2003-10-17 Nec Corp 薄膜形成方法および半導体装置の製造方法
KR20060123239A (ko) * 2003-10-31 2006-12-01 에비자 테크놀로지, 인크. 실리콘 질화물의 저온 증착
KR20120132403A (ko) * 2011-05-24 2012-12-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
EP2574611A1 (en) * 2011-09-27 2013-04-03 Air Products And Chemicals, Inc. Halogenated Organoaminosilane Precursors and Methods for Depositing Films Comprising Same

Also Published As

Publication number Publication date
CN104831254A (zh) 2015-08-12
TWI565822B (zh) 2017-01-11
US9905415B2 (en) 2018-02-27
EP2857552A3 (en) 2015-09-23
KR102281913B1 (ko) 2021-07-23
KR20210060412A (ko) 2021-05-26
JP2015073099A (ja) 2015-04-16
CN104831254B (zh) 2019-04-12
KR102256536B1 (ko) 2021-05-25
KR20150040234A (ko) 2015-04-14
KR102478568B1 (ko) 2022-12-15
TW201514332A (zh) 2015-04-16
KR20160132804A (ko) 2016-11-21
JP6018149B2 (ja) 2016-11-02
US20150099375A1 (en) 2015-04-09
EP2857552A2 (en) 2015-04-08

Similar Documents

Publication Publication Date Title
KR102478568B1 (ko) 질화규소 막을 증착시키는 방법
EP3329032B1 (en) Compositions and methods for depositing silicon nitride films
US20230348736A1 (en) Compositions and processes for depositing carbon-doped silicon-containing films
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
US10242864B2 (en) High temperature atomic layer deposition of silicon oxide thin films
US20200365401A1 (en) Boron-Containing Compounds, Compositions, And Methods For The Deposition Of A Boron Containing Films
TW202035430A (zh) 用於含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant