KR20120132403A - 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법 - Google Patents

오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법 Download PDF

Info

Publication number
KR20120132403A
KR20120132403A KR1020120055643A KR20120055643A KR20120132403A KR 20120132403 A KR20120132403 A KR 20120132403A KR 1020120055643 A KR1020120055643 A KR 1020120055643A KR 20120055643 A KR20120055643 A KR 20120055643A KR 20120132403 A KR20120132403 A KR 20120132403A
Authority
KR
South Korea
Prior art keywords
group
organoaminosilane
groups
linear
disilazane
Prior art date
Application number
KR1020120055643A
Other languages
English (en)
Other versions
KR101470876B1 (ko
Inventor
만차오 시아오
신지안 레이
빙 한
마크 레오나르드 오'네일
로날드 마틴 펄스타인
리챠드 호
하리핀 찬드라
아그네스 데렉스케이-코박스
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20120132403A publication Critical patent/KR20120132403A/ko
Application granted granted Critical
Publication of KR101470876B1 publication Critical patent/KR101470876B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 실리콘을 함유하는 실리콘 함유 필름을 증착시키는데 사용될 수 있는 오가노아미노실란 전구체 및 이러한 전구체를 제조하는 방법에 관한 것이다. 또한 본 발명은 본원에 기재된 오가노아미노실란 전구체를 이용하여 실리콘-함유 필름 또는 실리콘 함유 필름을 제조하기 위한 증착 방법에 관한 것이다. 또한 본 발명은 실리콘-함유 필름을 증착시키기 위해, 예를 들어 전구체를 반응기로 전달하는데 사용될 수 있는 오가노아미노실란 전구체 또는 이의 조성물을 포함하는 용기에 관한 것이다.

Description

오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법 {ORGANOAMINOSILANE PRECURSORS AND METHODS FOR MAKING AND USING SAME}
본 출원은 35 U.S.C §119하에서 2011년 5월 24일 출원한 U.S. 가출원 61/489,486의 우선권을 주장하고 있으며, 이 발표내용은 완전한 형태로 참고 문헌에 의해 본 출원에 병합되었다.
무정형 실리콘, 결정형 실리콘, 실리콘 니트라이드, 실리콘 옥사이드, 실리콘 카르보-니트라이드 및 실리콘 옥시니트라이드 필름과 같은 실리콘 함유 필름을 비제한적으로 포함하는 실리콘 함유 필름의 증착 목적에 사용될 수 있는 전구체, 특히 오가노아미노실란 전구체가 본원에 기재된다. 또 다른 특징으로, 집적 회로 디사이스의 제작에서 실리콘-함유 실리콘 함유 필름을 증착하기 위한 오가노아미노실란 전구체의 사용에 대하여 본원에서 상세하게 기술하였다. 이러한 양태 또는 다른 양태에서, 오가노아미노실란 전구체는 원자층 증착 ("ALD"), 화학 기상 증착("CVD"), 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착("LPCVD"), 및 대기압 화학 기상 증착을 포함하나 이에 제한되지 않는 다양한 증착 공정에 사용될 수 있다.
여러 가지 종류의 화합물들을 비제한적으로 실리콘 옥사이드 또는 실리콘 니트라이드 필름과 같은 실리콘 함유 필름에 대한 전구체로서 사용할 수 있다. 전구체로서 적절하게 사용할 수 있는 이러한 화합물의 예는 실란, 클로로실란, 폴리실리잔, 아미노실란 및 아지도실란을 포함하고 있다. 또한 비제한적으로 헬륨, 수소, 질소 등과 비활성 운반 가스 또는 희석제를 반응 챔버로의 전구체 운반에 사용하였다.
저압 화학 기상 증착(LPCVD) 공정은 실리콘 함유 필름의 증착을 위해 반도체 산업에서 사용하는 가장 널리 받아들여진 방법들 중의 한 가지이다. 암모니아를 이용한 저압 화학 기상 증착(LPCVD)눔 적정한 증가 속도 및 균일성을 얻기 위해 750℃보다 높은 증착 온도가 필요할 수 있다. 높은 증착 온도는 전형적으로 향상된 필름 품질을 제공하기 위해 이용하였다. 실리콘 니트라이드 및 다른 실리콘 함유 필름을 증가시키는 좀 더 일반적인 산업 방법들 중의 한 가지는 전구체 실란, 디클로로실란 및/또는 암모니아를 이용하여 750℃를 넘는 온도의 벽이 뜨거운 반응기에서의 저압 화학 기상 증착을 통하는 것이다. 하지만, 이 방법을 사용하는 것은 여러 가지 문제점이 있다. 예를 들어, 실란 같은 특정 전구체는 자연 발화성이 있다. 이러한 특징은 취급 및 사용시에 문제를 제공할 수 있다. 또한, 실란 및 디클로로실란으로부터 증착한 필름은 약간의 불순물들을 포함할 수 있다. 예를 들어, 디클로로실란으로부터 증착한 필름은 염소 및 염화 암모늄 같은 약간의 불순물을 포함할 수 있는데, 이는 증착 과정 중의 부산물로서 형성되었다. 실란을 이용하여 증착한 필름은 수소를 포함할 수 있다.
일본 공개 공보 제 6-132284는 일반 화학식 (R1R2N)nSiH4 -n (여기서 R1 및 R2 기는 H-, CH3-, C2H5-, C3H7-, 및 C4H9- 중의 어느 하나이고, 그 중 적어도 하나는 H-가 아니며, n은 1에서 4 사이의 정수)로 표현되는 오가노실란 화합물인 시작 가스를 이용한 화학 기상 증착에 의해 실리콘 니트라이드 필름을 만드는 방법에 대하여 기술하고 있다. 청구항 3항은 "오가노실란 화합물이 트리스디메틸아미노실란 (CH3)2N)3SiH, 비스디메틸아미노실란 ((CH3)2N)2SiH2, 디메틸아미노실란((CH3)2N)SiH3, 트리스디에틸아미노실란((C2H5)2N)3SiH, 비스디에틸아미노실란((C2H5)2N)2SiH2, 디에틸아미노실란((C2H5)2N)SiH3, 트리스디프로필아미노실란((C3H7)2N)3SiH, 비스디프로필아미노실란((C3H7)2N)2SiH2, 디프로필아미노실란((C3H7)2N)SiH3, 트리스디이소부틸아미노실란((C4H9)2N)3SiH, 비스디이소부틸아미노실란((C4H9)2N)2SiH2, 및 디이소부틸아미노실란((C4H9)2N)SiH3이다"라고 기술하고 있다.
미국 특허 제 6,391,803는 바람직하게는 Si[N(CH3)2]4, SiH[N(CH3)2]3, SiH2[N(CH3)2]2 또는 SiH3[N(CH3)2]인 첫 번째 반응물과 바람직하게는 활성화된 NH3인 두 번째 반응물을 이용한 Si3N4 같은 실리콘 및 SiO2 박막을 포함하는 박막층을 형성하는 원자층 증착 방법을 기술하고 있다.
일본 공개 공보 제 6-132276은 일반 화학식 (R1R2N)nSiH4 -n (여기서 R1 및 R2 는 H-, CH3-, C2H5-, C3H7-, 및 C4H9-이며, 그 중 적어도 하나는 H-가 아니고, n은 1에서 4 사이의 정수)로 표현하는 산소 및 유기 실란 화합물을 이용하여 화학 기상 증착에 의해 실리콘 옥사이드 필름을 형성하는 방법에 대하여 기술하고 있다. 청구항 3항은 "유기 실란 화합물은 트리스디메닐아미노실란((CH3)2N)3SiH, 비스디메틸아미노실란((CH3)2N)2SiH2, 디메틸아미노실란((CH3)2N)SiH3, 트리스디에틸아미노실란((C2H5)2N)3SiH, 비스디에틸아미노실란((C2H5)2N)2SiH2, 디에틸아미노실란((C2H5)2N)SiH3, 트리스디프로필아미노실란((C3H7)2N)3SiH, 비스디프로필아미노실란((C3H7)2N)2SiH2, 디프로필아미노실란((C3H7)2N)SiH3, 트리스디이소부틸아미노실란((C4H9)2N)3SiH, 비스디이소부틸아미노실란((C4H9)2N)2SiH2, 및 디이소부틸아미노실란((C4H9)2N)SiH3이다"라고 기술하고 있다.
출원인의 특허인 미국 특허 제 7,875,556; 7,875,312; 및 7,932,413는 예를 들어, 화학 기상 증착 또는 원자층 증착 공정에서의 실리콘 옥사이드 및 실리콘 카르보니트라이드 필름 같은 유전체 필름의 증착에 이용하는 여러 가지 종류의 아미노실란에 대하여 기술하였다.
미국의 연속 출원 제 13/114,287과 관련이 있는 출원인의 출원 계속 중인 출원인 유럽 공개 공보 제 2,392,691은 실리콘 함유 필름의 증착에 사용하는 전구체에 대하여 기술하고 있다.
BTBAS 및 클로로실란 같은 실리콘 니트라이드 필름의 증착에 사용하는 전구체는 일반적으로 550℃보다 높은 온도에서 필름을 증착시킨다. 반도체 디바이스의 소형화 경향 및 낮은 열 손실은 더 낮은 공정 온도 및 더 높은 증착률을 필요로 한다. 격자 내, 특히 금속화 층을 포함하는 기판과 다수의 III-V족 디바이스 및 II-VI족 디바이스에 대하여 이온 분산을 억제하기 위해서 실리콘 함유 필름이 증착되는 온도는 감소해야 한다. 따라서, 550℃의 온도 또는 550℃ 이하의 온도 또는 심지어 실온에서도 정상 공정 및 취급 요건에 충분할 정도로 안정적인 화학 기상 증착, 원자층 증착 또는 다른 공정을 통한 증착을 허용할 정도로 충분히 화학적 반응성이 있는 실리콘 옥사이드 또는 실리콘 니트라이드 필름 같은 실리콘 함유 필름의 증착에 대하여 새롭고 좀 더 비용 효율이 높은 전구체를 제공하는 것이 당업계에는 항시 필요하다.
본원에서는 오가노아미노실란 전구체, 및 비제한적으로 무정형 실리콘, 결정형 실리콘, 반-결정형 실리콘, 화학양론적 및 비화학양론적 실리콘 옥사이드, 화학양론적 및 비화학양론적 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카바이드, 실리콘 카르보니트라이드 및 이들의 조합물과 같은 실리콘을 포함하는 필름 (본원에서는 실리콘 함유 필름으로서 지칭됨)을 적어도 기판의 일부에 형성하기 위해 오가노아미노실란 전구체를 이용하는 방법에 대하여 기술하고 있다. 또한 본원에서는 가공하려는 대상, 예를 들어 반도체 웨이퍼 위에 실리콘 함유 필름 또는 코팅을 형성하는 방법에 대하여 기술하고 있다. 본원에서 기술한 방법의 하나의 구체예에서, 실리콘과 산소를 포함하는 층은 오가노아미노실란 전구체 및 산화제를 이용하여 기판에 실리콘 옥사이드 층을 만드는 조건하에 증착 챔버(chamber)에서 기판 상에 증착된다. 본원에서 기술한 방법의 또 다른 구체예에서, 실리콘과 질소를 포함하는 층은 오가노아미노실란 전구체 및 질소 함유 전구체를 이용하여 기판에 실리콘 니트라이드 층을 만드는 조건하에 증착 챔버 내의 기판 상에 증착된다. 또 다른 구체예에서, 본원에서 기술한 오가노아미노실란 전구체는 또한 비제한적으로 금속 옥사이드 필름 또는 금속 니트라이드 필름 같은 금속 함유 필름에 대하여 도펀트로서 사용될 수 있다.
본원에서 기술한 공정에서, 화학식 A, B, 및 C 또는 이의 혼합물을 포함하는 하나 이상의 오가노아미노실란을 하나 이상의 실리콘 함유 전구체로서 사용한다:
Figure pat00001
여기서 R은 C1-C10의 선형 또는 분지형 알킬기; C3-C10의 시클릭 알킬기; C5-C10의 방향족기; C3-C10의 포화 및 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10의 알콕시기; C1-C10의 알킬아미노기; 또는 치환기가 있는 화합물 C 또는 치환기가 없는 화합물 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10의 선형 또는 분지형 알킬기; C3-C10의 시클릭 알킬기; C5-C10의 방향족기; C3-C10의 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10의 알콕시기; C1-C10의 알킬아미노기; 또는 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 탄소 원자 수가 1에서 10 사이인 치환되거나 치환되지 않은 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하도록 결합될 수 있다. 화학식 A의 일부 구체예에서, R 및 R1은 시클릭 또는 알킬 치환된 시클릭기를 형성하도록 결합될 수 있다. 화학식 C의 일부 구체예에서, R, R2 및 R1의 어느 한 가지 이상은 시클릭기를 형성하도록 결합될 수 있다. 화학식 A 또는 C의 다른 구체예에서, R 및 R1, 또는 어느 한 가지 또는 R, R2, 및 R1 각각은 시클릭기를 형성하도록 결합되지 않는다. 하나의 특별한 구체예에서, 오가노아미노실란 전구체는 화학식 A를 포함하는데 여기서 R은 n-프로필이고 R1은 이소프로필이다. 화학식 A에 대한 상기 또는 다른 구체예에서, R 및 R1은 다른 치환기이고 오가노아미노실란은 비대칭 분자이다. 화학식 A의 또 다른 구체예에서, R 및 R1은 같은 치환기이고 오가노아미노실란은 대칭 분자이다. 화학식 A의 바람직한 구체예에서, R은 C5-C6 시클릭 알킬기이고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
한 가지 양태에서, 본원에서 기술한 오가노아미노실란 전구체는 하나 이상의 실리콘 함유 전구체로서 사용된 하기 화학식 A, B, 및 C를 포함하는 하나 이상의 전구체를 포함한다:
Figure pat00002
여기서 R은 C1-C10의 선형 또는 분지형 알킬기; C3-C10의 시클릭 알킬기; C5-C10의 방향족기; C3-C10의 포화 및 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10의 알콕시기; C1-C10의 알킬아미노기; 또는 치환기가 있는 화합물 C 또는 치환기가 없는 화합물 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10의 선형 또는 분지형 알킬기; C3-C10의 시클릭 알킬기; C5-C10의 방향족기; C3-C10의 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10의 알콕시기; C1-C10의 알킬아미노기; 또는 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 탄소 원자 수가 1에서 10 사이인 치환되거나 치환되지 않은 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 결합이 가능하여 시클릭기를 형성한다. 화학식 A의 일부 구체예에서, R 및 R1은 시클릭 또는 알킬 치환된 시클릭기를 형성하도록 결합될 수 있다. 화학식 C의 일부 구체예에서, R, R2 및 R1의 어느 한 가지 이상은 시클릭기를 형성하도록 결합할 수 있다. 화학식 A 또는 C의 다른 구체예에서, R 및 R1, 또는 어느 한 가지 또는 R, R2, 및 R1 각각은 시클릭기를 형성하도록 결합되지 않는다. 하나의 특별한 구체예에서, 오가노아미노실란 전구체는 화학식 A를 포함하는데 여기서 R은 n-프로필이고 R1은 이소프로필이다. 화학식 A에 대한 상기 또는 다른 구체예에서, R 및 R1은 다른 치환기이고 오가노아미노실란은 비대칭 분자이다. 화학식 A의 또 다른 구체예에서, R 및 R1은 같은 치환기이고 오가노아미노실란은 대칭 분자이다. 화학식 A의 또 다른 구체예에서, R 및 R1은 같은 치환기이고 오가노아미노실란은 대칭 분자이다.
또 다른 양태에서, 하기 단계를 포함하는, 기판의 적어도 한 표면에 실리콘 함유 필름을 형성하는 방법을 제공하였다:
반응 챔버에 기판의 적어도 한 표면을 제공하는 단계; 및
하나 이상의 실리콘 함유 전구체로서 이용된 하기 화학식 A, B, 및 C의 하나 이상의 오가노아미노실란 또는 이의 혼합물로부터 화학 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해 적어도 한 표면에 실리콘 함유 필름을 형성하는 단계:
Figure pat00003
여기서 R은 C1-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 화학식 C 또는 치환기가 없는 화학식 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자 수가 1에서 10 사이인 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하도록 결합될 수 있다. 화학식 A의 일부 구체예에서, R 및 R1은 시클릭 또는 알킬 치환된 시클릭기를 형성하도록 결합될 수 있다. 하나의 특별한 구체예에서, 오가노아미노실란은 화학식 A의 전구체를 포함하는데 여기서 R은 C5-C6 시클릭 알킬기로부터 선택되고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
또 다른 양태에서, 원자층 증착 공정을 통해, 실리콘 옥사이드 필름을 형성하는 방법을 제공하였고, 그 방법은 하기의 단계들을 포함하였다:
a. 반응기에 기판을 제공하는 단계;
b. 하나 이상의 실리콘 함유 전구체로서 사용된 하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노아미노실란 또는 이의 혼합물로부터 선택된 최소한 하나의 실리콘 전구체를 반응기에 도입하는 단계:
Figure pat00004
여기서 R은 C1-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 화학식 C 또는 치환기가 없는 화학식 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자 수가 1에서 10 사이인 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하도록 결합될 수 있다;
c. 퍼징 가스(purge gas)를 이용한 반응기의 퍼징 단계;
d. 산소원을 반응기에 도입하는 단계;
e. 퍼징 가스를 이용한 반응기의 퍼징 단계;
f. 바람직한 두께의 필름을 얻을 때까지 상기 b단계부터 e단계를 반복하는 단계. 본원에 기술한 방법에 대한 하나의 특별한 구체예에서, 오가노아미노실란은 화학식 A 전구체를 포함하는데 여기서 R은 C5-C6 시클릭 알킬기로부터 선택되고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
또 다른 양태에서, 하기 단계를 포함하는, 화학 기상 증착을 이용하여 기판의 적어도 한 표면에 실리콘 옥사이드 필름을 형성하는 방법을 제공하였다:
a. 반응기에 기판을 제공하는 단계;
b. 하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노아미노실란 또는 이의 혼합물을 반응기에 도입하는 단계:
Figure pat00005
여기서 R은 C1-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 화학식 C 또는 치환기가 없는 화학식 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자 수가 1에서 10 사이인 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하도록 결합될 수 있다;
c. 적어도 한 표면에 실리콘 옥사이드 필름을 증착시키기 위해 산소원을 제공하는 단계. 본원에 기술한 방법의 하나의 특별한 구체예에서, 오가노아미노실란은 화학식 A 전구체를 포함하는데 여기서 R은 C5-C6 시클릭 알킬기로부터 선택되고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
또 다른 양태에서, 원자층 증착 공정에 의해 실리콘 니트라이드 필름을 형성하는 방법을 제공하였고, 그 방법은 하기의 단계들을 포함하였다:
a. 반응기에 기판을 제공하는 단계;
b. 하나 이상의 실리콘 함유 전구체로서 사용된 하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노아미노실란 또는 이의 혼합물을 반응기에 도입하는 단계:
Figure pat00006
여기서 R은 C1-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 화학식 C 또는 치환기가 없는 화학식 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자 수가 1에서 10 사이인 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하도록 결합될 수 있다;
c. 퍼징 가스를 이용한 반응기의 퍼징 단계;
d. 질소-함유원을 반응기에 도입하는 단계;
e. 퍼징 가스를 이용한 반응기의 퍼징 단계; 및
f. 바람직한 두께의 실리콘 니트라이드 필름을 얻을 때까지 상기 단계 b부터 단계 e를 반복하는 단계. 본원에 기술한 방법의 하나의 특별한 구체예에서, 오가노아미노실란은 화학식 A 전구체를 포함하는데 여기서 R은 C5-C6 시클릭 알킬기로부터 선택되고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
또 다른 양태에서, 하기 단계를 포함하는, 화학 기상 증착 공정을 이용하여 기판의 적어도 한 표면에 실리콘 니트라이드 필름을 형성하는 방법을 제공하였다:
a. 반응기에 기판을 제공하는 단계;
b. 하나 이상의 실리콘 함유 전구체로서 사용된 하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노아미노실란 또는 이의 혼합물을 반응기에 도입하는 단계:
Figure pat00007
여기서 R은 C1-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분자형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 또는 치환기가 있는 화학식 C 또는 치환기가 없는 화학식 C의 실릴기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬기; C3-C10 시클릭 알킬기; C5-C10 방향족기; C3-C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2-C10 알케닐기; C1-C10 알콕시기; C1-C10 알킬아미노기; 치환기가 있는 실릴기로부터 독립적으로 선택되고; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자 수가 1에서 10 사이인 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내며, 화학식 A에서의 R 및 R1은 결합될 수 있어서 시클릭기를 형성한다;
c. 질소-함유원을 제공하는 단계로서, 여기서, 최소한 하나의 오가노아미노실란 전구체와 질소-함유원이 반응하여 실리콘과 질소 둘 모두를 포함하는 필름을 적어도 한 표면 상에 증착시키기는 단계. 본원에 기술한 방법의 하나의 특별한 구체예에서, 오가노아미노실란은 화학식 A 전구체를 포함하는데 여기서 R은 C5-C6 시클릭 알킬기로부터 선택되고 R1은 선형 또는 분지형 C1-C3 알킬기 또는 C5-C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
또 다른 양태에서, 화학식 A, B, C를 지닌 하나 이상의 오가노아미노실란 전구체 또는 이의 혼합물을 포함하는 실리콘 함유 필름을 증착시키는 용기를 본원에서 기술하였다. 하나의 특별한 구체예에서, 상기 용기는 적절한 밸브를 갖춘 최소한 하나의 가압 가능한 용기(바람직하게는 스테인레스 스틸) 및 화학 기상 증착 또는 원자층 증착 공정을 위해 하나 이상의 전구체를 반응기로 운반하는 것을 허용하는 부품을 포함하고 있다.
또 다른 양태에서, 하기 화학식 A, B 및 C를 가지는 오가노아미노실란 또는 이들의 혼합물 및 에테르, 3차 아민, 니트릴, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노 에테르 또는 이의 혼합물로 구성된 군으로부터 선택된 용매를 포함하는 실리콘 함유 필름의 증착을 위한 전구체 조성물을 제공한다:
Figure pat00008
상기 식에서, R은 독립적으로, C1 내지 C10의 선형 또는 분지형 알킬기; C3 내지 C10의 시클릭 알킬기; C5 내지 C10의 방향족기; C3 내지 C10의 포화 또는 불포화 헤테로시클릭기; C2 내지 C10의 선형 또는 분지형 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10의 알킬아미노기; 또는 치환기를 가지거나 가지지 않는 화학식 C 중의 실릴기로부터 선택되고; R1은 독립적으로, C3 내지 C10의 선형 또는 분지형 알킬기; C3 내지 C10의 시클릭 알킬기; C5 내지 C10의 방향족기; C3 내지 C10의 포화 또는 불포화 헤테로시클릭기; 수소 원자; C2 내지 C10의 선형 또는 분지형 알케닐기; C1 내지 C10의 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가지는 실릴기로부터 선택되며; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소수 1 내지 10의 탄화수소 사슬; 포화 또는 불포화된, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 여기에서 상기 화학식 A 중의 R 및 R1은 또한, 시클릭기를 형성하도록 결합할 수 있다. 본원에 기술된 전구체 조성물의 특정한 일 구체예에서, 오가노아미노실란은 화학식 A 전구체를 포함하고, 여기서 R은 C5 내지 C6 시클릭 알킬기로부터 선택되고, R1은 선형 또는 분지형의 C1 내지 C3 알킬기 또는 C5 내지 C6 시클릭 알킬기로 이루어진 군으로부터 선택된다.
도 1은 본원에 기술되고, 표 III의 no. 17 및 실시예 1에 기술된, 화학식 A를 가지는 N-이소-프로필시클로헥실아미노실란의 질량 분석(MS) 스펙트럼을 제공한다.
도 2는 본원에 기술되고, 표 III의 bo. 7에 기술된, 화학식 A를 가지는 디시클로헥실아미노실란의 질량 분석(MS) 스펙트럼을 제공한다.
도 3은 본원에 기술되고, 실시예 2에 기술된, 화학식 B를 가지는 N-2-피리딜디실라잔의 질량 분석(MS) 스펙트럼을 제공한다.
도 4는 본원에 기술되고, 실시예 3에 기술된, 화학식 C를 가지는 N,N'-디실릴-트랜스-2,5-디메틸피페리진의 질량 분석(MS) 스펙트럼을 제공한다.
도 5는 본원에 기술되고, 표 III의 no. 5에 기술된, 화학식 A를 가지는 N-메틸시클로헥실아미노실란의 질량 분석(MS) 스펙트럼을 제공한다.
도 6은 본원에 기술되고, 표 III의 no. 6에 기술된, 화학식 A를 가지는 N-에틸시클로헥실아미노실란의 질량 분석(MS) 스펙트럼을 제공한다.
도 7은 실시예 5에 기술된 바와 같이, 전구체 펄스 시간의 함수로서, N-메틸시클로헥실아미노실란 전구체를 사용하여 증착된 실리콘 옥사이드 필름의 증착률(deposition rate)을 제공한다.
발명의 상세한 설명
오가노아미노실란, 실란, 또는 실리콘-함유 전구체가, 화학양론적 및 비화학양론적 실리콘 함유 필름, 예를 들면, 이에 제한되지 않지만, 실리콘 옥사이드, 실리콘 옥시카바이드, 실리콘 니트라이드, 실리콘 옥시니트라이드 및 실리콘 옥시카르보니트라이드를 형성하기 위한 전구체로서 사용된다. 이들 전구체는 또한, 예를 들면, 금속 함유 필름용 도펀트(dopant)로서 사용될 수 있다. 오가노아미노실란 전구체는 전형적으로, 반도체 디바이스용 CVD 또는 ALD 공정을 통해 실리콘 함유 필름을 증착시키기 위한 가스로서, 증발되고, 증착 챔버 또는 반응기에 전달되는, 고순도 휘발성 액상 전구체 화학 물질이다. 증착을 위한 전구체 물질의 선택은 요망되는, 생성되는 유전 물질 또는 필름에 의존한다. 예를 들면, 전구체 물질은, 그의 화학원소의 함량, 그의 화학원소의 화학양론적 비율, 및/또는 CVD 하에서 형성되는, 생성되는 실리콘 함유 필름 또는 코팅에 따라 선택될 수 있다. 전구체 물질은 또한, 그 밖의 다양한 특징, 예를 들면, 비용, 상대적으로 낮은 독성, 취급 특성, 상온에서 액상을 유지하는 능력, 휘발성, 분자량, 및/또는 그 밖의 고려 사항에 따라 선택될 수 있다. 특정 구체예에서, 본원에 기술된 전구체는, 많은 수단을 통해, 바람직하게는 적절한 밸브 및 부품(fitting)이 설치된, 가압될 수 있는(pressurizable) 스테인레스 스틸 용기(vessel)를 이용하여 반응기 시스템으로 전달되어, 증착 챔버 또는 반응기로의 액상 전구체의 전달을 허용할 수 있다.
본원에 기술된 오가노아미노실란 전구체는, CVD 또는 ALD 전구체로서 이상적으로 적합하도록 하는 반응성 및 안정성의 균형을 나타낸다. 반응성과 관련하여, 특정 전구체는, 기판 상의 필름으로서 증착되도록, 증발되고, 반응기에 전달되기에 너무 높은 비점을 가질 수 있다. 더 높은 상대적인 비점을 가지는 전구체는, 전달 용기, 라인 또는 둘 모두에서 축합(condesation) 또는 입자가 형성되는 것을 방지하기 위하여, 전달 용기 및 라인이 전구체의 비점 이상에서 가열될 것을 요구한다. 안정성과 관련하여, 그 밖의 오가노실란 전구체는, 그들이 분해될 때 실란(SiH4)을 형성할 수 있다. 실란은 상온에서 발화성이고, 자발적으로 연소될 수 있어, 안전성 및 취급 문제를 제기한다. 또한, 실란 및 그 밖의 부산물의 형성은 전구체의 순도 수준을 감소시키고, 화학적 순도에서의 1 내지 2% 만큼의 작은 변화는, 신뢰할 만한 반도체 제조를 위해 용인할 수 없는 것으로 간주될 수 있다. 특정 구체예에서, 본원에 기술된 화학식 A, B 또는 C를 가지는 오가노아미노실란 전구체는, 저장 안정성의 지표가 되는 시기인 6개월 이상, 또는 1년 이상 동안 저장된 후, 2 중량% 미만, 1 중량% 미만, 또는 0.5 중량% 미만의 부산물(예를 들면, 상응하는 비스-실란 부산물)을 포함한다. 전술한 이점 이외에도, ALD 또는 PEALD 증착 방법을 이용하여 실리콘 옥사이드 또는 실리콘 니트라이드 필름을 증착시키는 경우와 같은 특정 구체예에서, 본원에 기술된 오가노아미노실란 전구체는, 상대적으로 낮은 증착 온도, 예컨대, 500℃ 이하, 또는 400℃ 이하, 300℃ 이하, 200℃ 이하, 100℃ 이하, 또는 50℃ 이하에서 고밀도 물질을 증착할 수 있다.
하나의 양태에서, 하기 화학식 A, B 또는 C로 표시되는 특정 전구체 또는 오가노아미노실란을 제공한다:
Figure pat00009
상기 식에서, R은 독립적으로, C1 내지 C10의 선형 또는 분지형 알킬기; C3 내지 C10의 시클릭 알킬기; C5 내지 C10의 방향족기; C3 내지 C10의 포화 또는 불포화 헤테로시클릭기; C2 내지 C10의 선형 또는 분지형 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10의 알킬아미노기; 또는 치환기를 가지거나 가지지 않는 화학식 C 중의 실릴기로부터 선택되고; R1은 독립적으로, C3 내지 C10의 선형 또는 분지형 알킬기; C3 내지 C10의 시클릭 알킬기; C5 내지 C10의 방향족기; C3 내지 C10의 포화 또는 불포화 헤테로시클릭기; 수소 원자; C2 내지 C10 선형 또는 분지형 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가지는 실릴기로부터 선택되며; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소수 1 내지 10의 탄화수소 사슬; 포화 또는 불포화된, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2을 나타내고, 여기에서, 화학식 A 중의 R 및 R1는 또한, 시클릭기를 형성하도록 결합할 수 있다. 화학식 A의 특정 구체예에서, R 및 R1은 시클릭기 또는 알킬 치환된 시클릭기를 형성하도록 결합될 수 있다. 특정한 일 구체예에서, 오가노아미노실란 전구체는 화학식 A를 가지는 화합물이며, 여기서, R은 C5 내지 C6 시클릭 알킬기이고, R1은 선형 또는 분지형의 C1 내지 C3 알킬기 또는 C5 내지 C6 시클릭 알킬기로 이루어진 군으로부터 선택된다.
화학식 A의 오가노아미노실란의 특정 구체예에서, R1 및 R2는 함께 연결되어 고리를 형성할 수 있다. 이들 또는 다른 구체예에서, 상기 고리는 헤테로시클릭 고리를 포함한다. 고리, 또는 대안적으로 헤테로시클릭 고리는 포화 또는 불포화될 수 있다.
화학식 A의 오가노아미노실란의 대안적인 구체예에서, R1 및 R2는 고리를 형성하기 위해, 서로 연결되지 않는다.
화학식 C의 특정 구체예에서, R, R2, 및 R1 중 어느 하나 이상이 결합되어 시클릭기를 형성할 수 있다. 이들 구체예에서, 상기 시클릭기는 카르보시클릭기 또는 헤테로시클릭기일 수 있다. 시클릭기는 포화되거나, 대안적으로 불포화될 수 있다.
화학식 C의 다른 구체예에서, R 및 R1, 또는 R, R2, 및 R1 중 어느 하나는, 각각 시클릭기를 형성하기 위해 결합되지 않는다.
화학식 A, B, 및 C에서 및 상세한 설명 전반에 걸쳐, 용어 "알킬"은, 탄소수 1 내지 20, 또는 탄소수 1 내지 10, 또는 탄소수 3 내지 10, 또는 탄소수 1 내지 6을 가지는 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬기로는, 이에 제한되지 않지만, 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기 및 헥실기를 포함한다. 예시적인 분지형 알킬기로는, 이에 제한되지 않지만, 이소프로필, 이소부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소헥실 및 네오헥실을 포함한다. 특정 구체예에서, 알킬기는 하나 이상의 작용기, 예를 들면, 이에 제한되지 않지만, 알킬기에 부착된, 알콕시기, 디알킬아미노기 또는 이들의 조합물을 가질 수 있다. 다른 구체예에서, 알킬기는 이들에 부착된 하나 이상의 작용기를 가지지 않는다. 알킬기는 포화되거나, 대안적으로 불포화될 수 있다.
화학식 A, B, 및 C에서 및 상세한 설명 전반에 걸쳐, 용어 "시클릭 알킬"은 탄소수 4 내지 20 또는 탄소수 5 내지 10을 가지는 시클릭기를 나타낸다. 예시적인 시클릭 알킬기는 이에 제한되지 않지만, 시클로부틸기, 시클로펜틸기, 시클로헥실기, 및 시클로옥틸기를 포함한다. 특정 구체예에서, 시클릭 알킬기는 C1 내지 C10의 선형, 분지형 치환기, 또는 산소 원자 또는 질소 원자를 함유한 치환기를 하나 이상 가질 수 있다. 이들 또는 다른 구체예에서, 시클릭 알킬기는, 치환기로서 예를 들면, 메틸시클로헥실기 또는 메톡시시클로헥실기와 같은 선형 또는 분지형 알킬기 또는 알콕시기를 하나 이상 가질 수 있다.
화학식 A, B, 및 C에서 및 상세한 설명 전반에 걸쳐, 용어 "아릴"은 탄소수 5 내지 10 또는 탄소수 6 내지 10을 가지는 방향족 시클릭 작용기를 나타낸다. 예시적인 아릴기는 이에 제한되지 않지만, 페닐기, 벤질기, 클로로벤질기, 톨릴기 및 o-자일릴기를 포함한다.
화학식 A, B, 및 C에서 및 상세한 설명 전반에 걸쳐, 용어 "알케닐기"는 하나 이상의 탄소-탄소 이중 결합을 가지고, 탄소수 2 내지 20, 또는 탄소수 2 내지 10, 또는 탄소수 2 내지 6을 가지는 기를 나타낸다.
화학식 A, B, 및 C에서 및 상세한 설명 전반에 걸쳐, 용어 "알콕시"는 산소 원자와 연결되어 있는 알킬기(예를 들면, R-O)를 나타내며, 탄소수 1 내지 20, 또는 탄소수 1 내지 12, 또는 탄소수 1 내지 6을 가질 수 있다. 예시적인 알콕시기는 이에 제한되지 않지만, 메톡시(-OCH3)기, 에톡시(-OCH2CH3)기, n-프로폭시(-OCH2CH2CH3)기, 및 이소-프로폭시(-OCHMe2)기를 포함한다.
화학식 A, B 및 C와 상세한 설명의 전반에 걸쳐, 본원에 사용된 용어 "불포화"는 작용기, 치환기, 고리 또는 브릿지(bridge)가 하나 이상의 탄소 이중 결합 또는 탄소 삼중 결합을 가지는 것을 의미한다. 불포화 고리의 예는 페닐 고리 등의 방향족 고리일 수 있으며, 이에 제한되지는 않는다. 용어 "포화"는 작용기, 치환기, 고리 또는 브릿지가 하나 이상의 이중 결합 또는 삼중 결합을 가지지 않음을 의미한다.
화학식 A, B 및 C와 상세한 설명의 전반에 걸쳐, 용어 "알킬아미노기"는 질소 원자에 결합된 1 또는 2개의 알킬기를 가지며, 1 내지 20, 또는 2 내지 12, 또는 2 내지 6 개의 탄소 원자를 가지는 기를 의미한다. 알킬아미노기의 예는 피페리딘일 수 있으며, 이에 제한되지는 않는다.
일 구체예에서, 화학식 A, B 또는 C 내의 하나 이상의 알킬기, 알케닐기, 알키닐기, 알콕시알킬기, 알콕시기, 알킬아미노알킬기, 아릴기 및/또는 방향족기는 치환되거나, 예를 들면, 수소 원자 대신에 치환된 하나 이상의 원자 또는 원자단을 가질 수 있다. 치환기의 예로는 산소, 황, 할로겐 원자(예컨대, F, Cl, I 또는 Br), 질소 및 인을 포함하나, 이에 제한되지는 않는다. 다른 구체예에서, 화학식 A, B 또는 C 내의 하나 이상의 알킬기, 알케닐기, 알키닐기, 알콕시알킬기, 알콕시기, 알킬아미노알킬기, 방향족기 및/또는 아릴기는 치환될 수 있다.
일 구체예에서, 화학식 A, B 또는 C를 포함하는 하나 이상의 오가노아미노실란 전구체는 산소 원자를 포함하는 하나 이상의 치환기를 가진다. 이러한 구체예에서는, 증착 공정 동안의 산소 소스(source)에 대한 요구를 회피할 수 있다. 다른 구체예에서, 화학식 A, B 또는 C를 가지는 하나 이상의 오가노아미노실란 전구체는 산소 원자를 포함하는 하나 이상의 치환기를 가지며, 또한 산소 소스도 사용한다.
본원에 기술된 실리콘 화합물의 첫 번째 부류는 오가노아미노실란 전구체이며, 하기 화학식 A로 표시된다.
Figure pat00010
상기 식에서, R은 C1 내지 C10 선형 또는 분지형, 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기로부터 독립적으로 선택되며; R1은 C3 내지 C10 선형 또는 분지형, 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 치환기를 가지는 실릴기로부터 독립적으로 선택되며; 화학식 A에서의 R 및 R1이 결합하여 시클릭기를 형성할 수 있다. 화학식 A를 가지는 오가노아미노실란 전구체의 또 다른 구체예에서, R은 치환기를 가지거나 가지지 않는 방향족기이며, R1은 선형 또는 분지형 알킬기이다. 화학식 A를 가지는 화합물의 구체적인 일 구체예에서, R은 C5 내지 C6 시클릭 알킬기이며, R1은 선형 또는 분지형 C1 내지 C3 알킬기 및 C5 내지 C6 시클릭 알킬기로 이루어진 군으로부터 선택된다.
화학식 A의 또 다른 구체예에서, R 및 R1은 결합하여, 하기 중 하나 이상으로부터 유도되나 이에 제한되지 않는, 5원 또는 6원 헤테로시클릭의 치환되거나 치환되지 않은, 방향족 고리를 형성한다: 피롤, 알킬 치환된 피롤, 이미다졸, 알킬 치환된 이미다졸, 피라졸 또는 알킬-치환된 피라졸. 이러한 구체예의 예로 N-실릴피롤(표 III, no. 24), N-실릴-2,5-디메틸피롤(표 III, no. 19) 및 1-실릴-7-아자인돌(표 III, no. 27)이 포함되나, 이에 제한되지는 않는다.
화학식 A의 또 다른 구체예에서, R 및 R1은 결합하여, 하기 중 하나 이상으로부터 유도되나 이에 제한되지 않는, 5원 또는 6원 헤테로시클릭의 치환되거나 치환되지 않은, 지방족 고리를 형성한다: 피롤리딘, 피페리딘, 모르폴린, 피페라진 또는 이들의 알킬-치환된 유도체. 이러한 구체예의 예로 2,6-디메틸모르폴리노실란(표 III, no. 10), 2-메틸피롤리디노실란(표 III, no. 12) 및 N-실릴데카히드로퀴놀린(표 III, no. 16)이 포함되나, 이에 제한되지는 않는다.
화학식 A의 일 구체예에서, R 및 R1은 동일한 치환기이며, 오가노아미노실란은 대칭 분자이며, 단, R 및 R1 모두는 하기의 기 중 하나가 아니다: 에틸, 이소프로필, 3차-부틸, 이소부틸, 2차-부틸, n-부틸, t-펜틸 및 2차-펜틸기. 이러한 구체예의 예로, 디시클로헥실아미노실란(표 III, no. 7)이 포함되나, 이에 제한되지는 않는다.
화학식 A의 다른 구체예에서, R 및 R1은 상이한 치환기이며, 오가노아미노실란은 비대칭 분자이다. 이러한 구체예의 예로 N-프로필-이소프로필아미노실란(표 III, no. 4), N-메틸시클로헥실아미노실란(표 III, no. 5), N-에틸시클로헥실아미노실란(표 III, no. 5), 알릴페닐아미노실란(표 III, no. 15), N-이소프로필시클로헥실아미노실란(표 III, no. 17), 알릴시클로펜틸아미노실란(표 III, no. 18), 페닐시클로헥실아미노실란(표 III, no. 22) 및 2-(N-실릴메틸아미노)피리딘(표 III, no. 25)이 포함되나, 이에 제한되지는 않는다.
실리콘 옥사이드 층을 제조하는데 사용하기 적합한 오가노아미노실란 전구체의 두번째 부류는, 하기 화학식 B로 표시된 바와 같이, 하나의 질소 원자에 측쇄로 연결된 실릴기를 2개 포함하는 오가노아미노실란이다.
Figure pat00011
상기 화학식 B에서, R은 페닐이 아닌, C6 내지 C10의 치환되거나 치환되지 않은 방향족기; C3 내지 C10의 치환되거나 치환되지 않은 시클릭 알킬기; 선형 또는 분지형, 치환되거나 치환되지 않은 C2 내지 C6 알케닐기; C1 내지 C10 알콕시알킬기; C1 내지 C10 알킬아미노기 또는 디알킬아미노기이다. R은 또한 C4 내지 C10의 선형 또는 분지형, 치환되거나 치환되지 않은 알킬기일 수 있으며, 단, R은 치환되지 않은 3차-부틸, t-펜틸 또는 시클로헥실기가 아니다.
화학식 B의 일 구체예에서, R은 치환된 C5 내지 C10 방향족기이며, 상기 방향족기는 하기 중 하나 이상으로 치환된다: 알킬기, 알케닐기, 아미노기 또는 알콕시기. 이러한 구체예의 예로 N-(4-메톡시페닐)디실라잔(표 IV, no. 11), N-(3-메톡시페닐)디실라잔(표 IV, no. 12), N-(2-메톡시페닐)디실라잔(표 IV, no. 13), N-(4-클로로페닐)디실라잔(표 IV, no. 14), N-(2-클로로페닐)디실라잔(표 IV, no. 15), N-(2-에틸페닐)디실라잔(표 IV, no. 21), N-(2,6-디에틸페닐)디실라잔(표 IV, no. 22), N-(2-프로필페닐)디실라잔(표 IV, no. 23), N-(4-t-부틸페닐)디실라잔(표 IV, no. 24), N-(4-이소-프로필페닐)디실라잔(표 IV, no. 25), N-(2-이소-프로필페닐)디실라잔(표 IV, no. 26), N-(3-에틸페닐)디실라잔(표 IV, no. 30), N-(4-2차-부틸페닐)디실라잔(표 IV, no. 31), N-(4-비닐페닐)디실라잔(표 IV, no. 32), N-(3-메틸페닐)디실라잔(표 IV, no. 33), N-(4-메틸페닐)디실라잔(표 IV, no. 34), N-(2,4,6-트리메틸페닐)디실라잔(표 IV, no. 35) 및 N-(2, 6-디-이소프로필페닐)디실라잔(표 IV, no. 36)이 포함되나, 이에 제한되지는 않는다.
화학식 B의 일 구체예에서, R은 C5 내지 C10 헤테로시클릭기이며, 상기 헤테로시클릭기는 고리 내에 N 또는 O 원자를 포함하며, 상기 헤테로시클릭기는 하기 중 하나 이상으로 치환될 수 있다: 알킬기, 알케닐기, 아미노기 또는 알콕시기. 이러한 구체예의 예로 1-N-(2-피리딜)디실라잔(표 IV, no. 1), N,N-디실릴-2-아미노피리미딘(표 IV, no. 2), N-(4-메틸-2-피리딜)디실라잔(표 IV, no. 16), N-(6-메틸-2-피리딜)디실라잔(표 IV, no. 17), N-(3-메틸-2-피리딜)디실라잔(표 IV, no. 18), N-(5-메틸-2-피리딜)디실라잔(표 IV, no. 19) 및 N-[2-(4-메틸피리미디노)아미노]디실라잔(표 IV, no. 37)이 포함되나, 이에 제한되지는 않는다.
화학식 B의 일 구체예에서, R은 치환된 C2 to C10 알킬기이며, 상기 알킬기는 하기 중 하나 이상으로 치환된다: 헤테로 원자(예: N, Cl, O), 알킬기, 방향족기, 알킬기, 알킬아미노기 또는 알콕시기. 이러한 구체예의 예는 N-t-펜틸디실라잔(표 IV, no. 6), N-(2-디메틸아미노-1-메틸에틸)디실라잔(표 IV, no. 7), N-(2-디메틸아미노에틸)디실라잔(표 IV, no. 8), N-(1-시클로헥실에틸)디실라잔(표 IV, no. 27), N,N-디실릴쿠밀아민(표 IV, no. 29), N-[3,3-디메틸부틸-2]디실라잔(표 IV, no. 39), N,N-디실릴-2-피콜릴아민(표 IV, no. 40), N,N-디실릴-2-(2-피리딜)에틸아민(표 IV, no. 41) 및 N,N-디실릴-1-(4-메틸페닐)에틸아민(표 IV, no. 42)이 포함되나, 이에 제한되지는 않는다.
오가노아미노실란 화합물의 세 번째 부류는 화학식 C로 표시된다.
Figure pat00012
상기 화학식 C에서, R은 C1 내지 C10의 선형 또는 분지형, 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가지거나 가지지 않는 화학식 C의 실릴기로부터 독립적으로 선택되며, R1은 C3 내지 C10의 선형 또는 분지형, 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 카르보시클릭기 또는 헤테로시클릭기; 수소 원자; 선형 또는 분지형의 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가지는 실릴기로부터 독립적으로 선택되며, R2는 단일결합; 포화 또는 불포화, 선형 또는 분지형 탄화수소 사슬(탄소 원자 수는 1 내지 10의 범위임); 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타낸다. 특정 구체예에서, R 및 R1은 동일하다. 다른 구체예에서, R 및 R1 은 상이하다. R2 기는 질소 원자들을 연결한다. 일 구체예에서, R2 기는 단일 결합이며, 화합물 내의 N 원자에 직접 결합된 N 원자일 수 있다. 일 구체예에서, R2 기는 단순히 질소 원자 사이의 단일 결합이다. 다른 구체예에서, R2 기는 SiR2, SiH2, 사슬, 고리 또는 C1 내지 C10의 선형 알킬기 또는 C3 내지 C10의 분지형 알킬기 등의 브릿지 기(bridging group)일 수 있다. 화학식 C의 다른 구체예에서, R 및 R1은 서로 연결될 수 있다. 후자의 구체예에서, 화학식 C에서의 R 및 R1은 단일 또는 이중 탄소-탄소 결합의 형성, 또는 산소 또는 질소 원자를 통한 연결에 의하여 헤테로시클릭기로 결합될 수 있다.
이론에 얽매이지 않으면서, 본원에 기술된 화학식 A, B 및 C를 가지며, 하나 이상의 -SiH3를 가지는 특정 오가노아미노실란 등의 오가노아미노실란 전구체는, 수산화된(hydroxylated)-반도체 표면에서의 더 낮은 활성화 장벽(따라서, 더 낮은 증착 온도), 증착 후의 더 낮은 불순물 및 더 높은 필름 밀도 때문에, SiH2 또는 -SiH 기를 가지는 오가노아미노실란 전구체에 비하여 유리한 것으로 여겨진다. 그러나, 디메틸아미노실란(DMAS) 또는 디에틸아미노실란(DEAS)과 같이 -SiH3 기를 가지는 오가노아미노실란 전구체는, 불균화 반응(disproportionation reaction)을 통해 발화성 실란 및 비스(디메틸아미노)실란 또는 비스(디에틸아미노)실란을 각각 형성하기 때문에 열적으로 안정하지 않다. 또한, 이러한 특정의 오가노아미노실란 전구체를 사용하여 증착되는 필름은 실리콘 니트라이드 또는 실리콘 카르보니트라이드 네트워크 내에 적절한 수준 및 유형의 탄소를 함유할 수 있어, 특정의 유전 상수 값은 유지시키면서, 습식 식각 속도(wet etch rate)를 유의적으로 감소시킬 수 있다.
특정 구체예에서, 화학식 A, B 또는 C를 가지는 오가노아미노실란은 유기 용매 또는 용매 혼합물 내에서, 모노할리도실란(monohalidosilane)(XSiH3, 여기서, X=Cl, Br, 또는 I) 또는 디-이소-프로필아미노실란 등의 저분자 디알킬아미노실란을 표 I(화학식 A) 및 표 II(화학식 B 및 C)에 제공되는 하기 아민 중 하나 이상과 반응시킴으로써 제조될 수 있다.
표 I. 화학식 A 전구체의 합성에 사용된 아민
Figure pat00013
Figure pat00014
표 II. 화학식 B 또는 C 전구체의 합성에 사용된 아민
Figure pat00015
Figure pat00016
하기 식 (1) 내지 (6)은 여기에 설명된 것과 같은 화학식 A, B, 또는 C를 갖는 오가노아미노실란을 제조하는데 사용될 수 있는 반응식 또는 합성 경로의 예들을 제공한다. 식 (1) 내지 (6)에서, 치환기 R, R1, 및 R2는 화학식 A, B, 또는 C에 대하여 여기에 설명된 것들과 같으며; M은 Li, N, 또는 K이며; X는 Cl, Br, 또는 I이며; 식 (5)에서 R'은 C1 내지 C10 선형 또는 분지형, 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기로부터 선택된다. 게다가, R'3N은 또한 사용되는 RR1NH의 함량을 줄이기 위해서 식 (2)에서 이용되어 RR1N-HCl 대신에 R'3N-HCl을 형성한다. 식 (1) 내지 (6)에서 반응식들은 유기 용매와 함께(예를 들어, 유기 용매의 존재 하에서) 또는 유기 용매 없이 실행될 수 있다. 유기 용매가 사용되는 구체예에서, 적합한 유기 용매의 예는 헥산, 옥탄, 톨루엔, 및 테트라하이드로퓨란(THF)와 같은 탄화수소를 포함하지만, 이에 제한되지 않는다. 이들 또는 다른 구체예에서, 반응 온도는 약 -70℃에서 만약 용매가 포함된다면 이용된 용매의 비등점까지의 범위 내에 있다. 그 결과로 생긴 오가노아미노실란은 모든 부산물뿐만 아니라, 만약 존재한다면 용매(들)를 제거한 후에 감압 증류를 통하여 정제될 수 있다. 식 (1) 내지 (5)는 화학식 A 또는 B를 갖는 전구체를 제조하기 위한 다른 구체예이다. 그것을 화학식 B 화합물에 더 적합하게 만들기 위해서 식 (5)는 식 (2)의 변형이다. 식 (6)은 화학식 C의 합성 방법을 나타낸다.
Figure pat00017
실리콘-함유 실리콘 함유 필름 또는 코팅을 형성하는데 사용되는 방법은 증착 공정이다. 여기에 개시된 방법을 위한 적합한 증착 공정의 예는 시클릭 CVD(CCVD), MOCVD(금속 유기 CVD), 열화학 기상 증착, 플라즈마 강화 화학 기상 증착("PECVD"), 고밀도 PECVD, 광자 보조 CVD, 플라즈마-광자 보조 ("PPECVD"), 극저온 화학 기상 증착, 화학 보조 기상 증착, 핫-필라멘트(hot-filament) 화학 기상 증착, 액상 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저에너지 CVD(LECVD)를 포함하지만, 이에 제한되지 않는다. 어떤 구체예에서, 금속 함유 필름은 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 시클릭 CVD(PECCVD) 공정을 통하여 증착된다. 여기에 사용된 것과 같이, 용어 "화학 기상 증착 공정"은 기판이, 기판 표면 위에서 반응하고/하거나 분해되어 바람직한 증착을 생성하는, 하나 또는 그 초과의 휘발성 전구체에 노출되는 임의의 공정을 나타낸다. 여기서 사용된 것과 같이, 용어 "원자층 증착 공정"은, 물질의 필름을 다양한 조성물의 기판 위에 증착시키는 스스로-제한하는(예를 들어, 각 반응 주기에서 증착된 필름 물질의 양이 일정한), 순차적인 표면 화학 반응을 나타낸다. 비록 여기에 사용된 전구체, 시약 및 공급원이 때때로 "가스"로 기재될 수 있다 하더라도, 전구체는 불활성 가스와 함께 또는 없이 직접적인 기화, 버블링(bubbling) 또는 승화를 통하여 반응기 내부로 이동되는 액체 또는 고체일 수 있다는 것으로 이해된다. 일부 경우에서, 기화된 전구체는 플라즈마 발생기를 통과할 수 있다. 한 구체예에서, 실리콘 함유 필름은 ALD 공정을 사용하여 증착된다. 또 다른 구체예에서, 실리콘 함유 필름은 CCVD 공정을 사용하여 증착된다. 추가의 구체예에서, 실리콘 함유 필름은 열 CVD 공정을 사용하여 증착된다. 여기에 사용된 것과 같은 용어 "반응기"는 반응 챔버 또는 증착 챔버를 제한 없이 포함한다.
어떤 구체예에서, 여기에 개시된 방법은 반응기로의 도입 이전에 그리고/또는 도입 동안에 전구체를 분리시키는 ALD 또는 CCVD 방법을 사용함으로써 전구체의 전(pre)-반응을 방지한다. 이와 관련하여, ALD 또는 CCVD 공정과 같은 증착 기술은 실리콘 함유 필름을 증착시키는데 사용된다. 한 구체예에서, 필름은 기판 표면을 대안적으로 하나 또는 그 초과의 실리콘-함유 전구체, 산소 공급원, 질소-함유 공급원, 또는 다른 전구체 또는 시약에 노출시킴으로써 ALD 공정을 통하여 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도의 스스로-제한하는 조절로써 진행된다. 그러나, 기판의 표면이 포화되면, 필름 성장은 중단된다.
이전에 언급한 것과 같이, 어떤 구체예에서, 예를 들어, ALD 또는 PEALD 증착 방법을 사용하여 실리콘 옥사이드 또는 실리콘 니트라이드 필름을 증착시키는 것에 대하여, 여기에 설명된 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체는 상대적으로 낮은 증착 온도, 예를 들어, 500℃ 또는 그 미만의, 또는 400℃ 또는 그 미만의, 300℃ 또는 그 미만의, 200℃ 또는 그 미만의, 100℃ 또는 그 미만의, 또는 50℃ 또는 그 미만의 온도 또는 실온에서 필름을 증착시키는 것을 가능하게 할 수 있다. 이들 또는 다른 구체예에서, 기판 (증착) 온도는 범위가 하기 종점 중 임의의 하나 또는 그 초과로부터의 비등점 범위들 사이의 차이이다: 25, 50, 100, 200, 300, 400, 또는 500℃. 이들 범위의 예는, 제한 없이, 25 내지 50℃, 100℃ 내지 300℃, 또는 100℃ 내지 500℃이다.
어떤 구체예에서, 여기에 설명된 방법은 위의 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체 외에 하나 또는 그 초과의 추가적인 실리콘-함유 전구체를 추가적으로 포함한다. 추가적인 실리콘-함유 전구체의 예는 실록산(예컨대, 헥사메틸디실록산(HMDSO) 및 디메틸실록산(DMSO))과 같은 오가노-실리콘 화합물; 오가노실란(예컨대, 메틸실란; 디메틸실란; 비닐 트리메틸실란; 트리메틸실란; 테트라메틸실란; 에틸실란; 디실릴메탄; 2,4-디실라펜탄; 1,4-디실라부탄; 2,5-디실라헥산; 2,2-디실릴프로판; 1,3,5-트리실라시클로헥산, 및 이들 화합물의 불소화 유도체; 페닐-함유 오가노-실리콘 화합물(예컨대, 디메틸페닐실란 및 디페닐메틸실란); 산소-함유 오가노-실리콘 화합물, 예컨대, 디메틸디메톡시실란; 1,3,5,7-테트라메틸시클로테트라실록산; 1,1,3,3-테트라메틸디실록산; 1,3,5,7-테트라실라-4-옥소-헵탄; 2,4,6,8-테트라실라-3,7-디옥소-노난; 2,2-디메틸-2,4,6,8-테트라실라-3,7-디옥소-노난; 옥타메틸시클로테트라실록산; [1,3,5,7,9]-펜타메틸시클로펜타실록산; 1,3,5,7-테트라실라-2,6-디옥소-시클로옥탄; 헥사메틸시클로트리실록산; 1,3-디메틸디실록산; 1,3,5,7,9-펜타메틸시클로펜타실록산; 헥사메톡시디실록산, 및 이들 화합물의 불소화 유도체를 포함하지만, 이에 제한되지 않는다.
증착 방법에 따라, 어떤 구체예에서, 하나 또는 그 초과의 실리콘-함유 전구체는 미리 결정된 몰 부피로, 또는 약 0.1 내지 약 1000 마이크로몰로 반응기 내부로 도입될 수 있다. 본 구체예 또는 다른 구체예에서, 실리콘-함유 및/또는 오가노아미노실란 전구체는 미리 결정된 기간 동안 반응기 내부로 도입될 수 있다. 어떤 구체예에서, 기간은 범위가 약 0.001 내지 약 500 초이다.
어떤 구체예에서, 여기에 설명된 방법을 사용하여 증착된 실리콘 함유 필름은 산소 공급원, 산소를 포함하는 시약 또는 전구체를 사용하여 산소의 존재하에서 형성된다. 산소 공급원은 적어도 하나의 산소 공급원의 형태로 반응기 내부로 도입될 수 있고/있거나 증착 공정에서 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 산소 공급원 가스는, 예를 들어, 물(H2O)(예컨대, 탈아온수, 정제수, 및/또는 증류수), 물 플라즈마, 산소(O2), 과산화수소수(O3), 산소 플라즈마, 오존(O3), NO, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합을 포함할 수 있다. 어떤 구체예에서, 산소 공급원은, 범위가 약 1 내지 약 2000 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm인 유량에서 반응기 내부로 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 범위가 약 0.1 내지 약 100 초인 시간 동안 도입될 수 있다. 한 특정한 구체예에서, 산소 공급원은 10℃ 또는 그 초과의 온도를 갖는 물을 포함한다. 필름이 ALD 또는 시클릭 CVD 공정에 의해서 증착되는 구체예에서, 전구체 펄스는 0.01 초를 초과하는 펄스 지속기간(duration)을 가질 수 있고, 산소 공급원은 0.01 초 미만의 펄스 지속기간을 가질 수 있으며, 물 펄스 지속기간은 0.01 초 미만의 펄스 지속기간을 가질 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼징 지속기간은 0 초만큼 낮을 수 있거나, 중간의 퍼징 없이 연속적으로 펄스된다. 산소 공급원 또는 시약은 실리콘 전구체에 대한 1:1 비 미만의 분자 함량으로 제공되며, 이로써 적어도 일부의 탄소는 증착된 실리콘 함유 필름과 같은 것 내에 보유된다.
어떤 구체예에서, 실리콘 함유 필름은 실리콘 및 질소를 포함한다. 이들 구체예서, 여기에 설명된 방법을 사용하여 증착된 실리콘 함유 필름은 질소-함유 공급원의 존재 하에서 형성된다. 질소-함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기 내부로 도입될 수 있고/있거나 증착 공정에서 사용된 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는, 예를 들어, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 어떤 구체예에서, 질소-함유 공급원은 범위가 약 1 내지 약 2000 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm인 유량에서 반응기 내부로 도입되는 암모니아 플라즈마 또는 수소/질소 플라즈마 공급원 가스를 포함한다. 질소-함유 공급원은 범위가 약 0.1 내지 약 100 초인 시간 동안 도입될 수 있다. 필름이 ALD 또는 시클릭 CVD 공정에 의해서 증착되는 구체예에서, 전구체 펄스는 0.01 초를 초과하는 펄스 지속기간(duration)을 가질 수 있고, 질소-함유 공급원은 0.01 초 미만의 펄스 지속기간을 가질 수 있으며, 물 펄스 지속기간은 0.01 초 미만의 펄스 지속기간을 가질 수 있다. 아직 또 다른 구체예에서, 펄스들 사이의 퍼징 지속기간은 0 초만큼 낮을 수 있거나, 중간의 퍼징 없이 연속적으로 펄스된다.
여기에 개시된 증착 방법은 하나 또는 그 초과의 퍼징 가스를 포함할 수 있다. 소비되지 않는 반응물 및/또는 반응 부산물을 제거하는데 사용되는, 퍼징 가스는 전구체와 반응을 하지 않는 불활성 가스이다. 예시적인 퍼징 가스는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함하지만, 이에 제한되지 않는다. 어떤 구체예에서, Ar과 같은 퍼징 가스는 범위가 약 10 내지 약 2000 sccm인 유량에서 약 0.1 내지 1000 초 동안 반응기 내부로 공급되며, 이로써 반응기 내부에 남아있을 수 있는 미반응 물질 및 임의의 부산물을 제거한다.
전구체, 산소 공급원, 질소-함유 공급원, 및/또는 다른 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 결과적인 실리콘 함유 필름의 화학량론 조성을 변경하기 위해서 그들을 공급하는 시간을 변경함으로써 수행될 수 있다.
에너지가 전구체, 질소-함유 공급원, 환원제, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용되어 반응을 유도하고 기판 위에 실리콘 함유 필름 또는 코팅을 형성한다. 그런 에너지는 열, 플라즈마, 펄스된(pulsed) 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도결합 플라즈마, X-레이, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해서 제공될 수 있지만, 이에 제한되지 않는다. 어떤 구체예에서, 2차 RF 주파수 소스가 기판 표면에서 플라즈마 특성을 변경하는데 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기 내에서 직접적으로 발생되는, 직접적인 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 발생되고 반응기 내부로 공급되는, 원격 플라즈마-발생 공정을 포함할 수 있다.
오가노아미노실란 전구체 및/또는 다른 실리콘-함유 전구체는 여러 가지의 방식으로 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안적인 구체예에서, 낮은 휘발성 물질이 용량 분석(volumetrically)으로 전달될 수 있게 하여, 전구체의 열분해 없이 재생할 수 있는 이동 및 증착으로 이어지도록, 예를 들어, 미네소타주, 쇼어뷰의 MSP 회사에 의해서 제조된 터보 기화기와 같은, 조합된 액체 전달 및 플래시(flash) 기화 공정 유닛이 이용될 수 있다. 액체 전달 형태(formulations) 또는 조성에서, 여기에 설명된 전구체는 간단한(neat) 액체 형태로 전달될 수 있거나, 대안적으로, 이를 포함하는 용매 형태 또는 조성 내에서 이용될 수 있다. 따라서, 어떤 구체예에서 전구체 형태는 기판 위에 필름을 형성하기 위해서 정해진 최종 용도 적용품에서 바람직하고 이로울 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
화학식 A, B, 또는 C를 갖는 전구체(들)이 용매 및 상술한 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체를 포함하는 조성물에 사용되는 구체예에서, 선택된 용매 또는 이들의 혼합물은 오가노아미노실란과 반응하지 않는다. 조성물에서 용매의 양은 중량 퍼센트로 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이 또는 다른 구체예에서, 용매는 화학식 A, B, 또는 C의 오가노아미노실란의 비등점(b.p.)과 유사한 비등점을 가지거나 용매의 비등점 내지 화학식 A, B, 또는 C의 오가노아미노실란의 비등점의 차이가 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃이다. 택일적으로, 비등점들 사이의 차이는 임의의 하나 이상의 아래 종말점의 사이이다: 0, 10, 20, 30, 또는 40℃. 비등점 차이의 적합한 영역의 예들은, 제한없이 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물에서 적합한 용매의 예들은, 이들로 제한되는 것은 아니지만, 에테르 (예컨대 1,4-디옥산, 디부틸 에테르), 터셔리 아민 (예컨대 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴 (예컨대 벤조니트릴), 알킬 탄화수소 (예컨대 옥탄, 노난, 도데칸, 에틸시클로헥산), 방향족 탄화수소 (예컨대 톨루엔, 메시틸렌), 터셔리 아미노에테르 (예컨대 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함한다. 몇몇 제한되지 않는 예시적인 조성물은, 이들로 제한되는 것은 아니지만, 디-이소-프로필아미노실란 (b.p. 약 116℃) 및 옥탄 (b.p. 125 내지 126℃)을 포함하는 조성물; 디-이소-프로필아미노실란 (b.p. 약 116℃) 및 피리딘 (b.p. 115℃)을 포함하는 조성물; 디-이소-프로필아미노실란 (b.p. 약 116℃) 및 톨루엔 (b.p. 110℃)을 포함하는 조성물; N-메틸시클로헥실아미노실란 (b.p. 약 171℃) 및 데칸 (b.p. 174℃)을 포함하는 조성물; N-메틸시클로헥실아미노실란 (b.p. 약 171℃) 및 디에틸렌 글리콜 디메틸 에테르 (b.p. 162℃)를 포함하는 조성물; N-이소-프로필시클로헥실아미노실란 (b.p. 약 199℃) 및 비스(2-디메틸아미노에틸) 에테르 (b.p., 189℃)를 포함하는 조성물; N-이소-프로필시클로헥실아미노실란 (b.p. 약 199℃) 및 벤조니트릴 (b.p., 191℃)을 포함하는 조성물을 포함한다.
다른 구체예에서, 화학식 A, B, 또는 C를 갖는 하나 이상의 오가노아미노실란 전구체를 포함하는 필름을 함유한 실리콘 증착용 용기가 여기에 기술된다. 한 특정 구체예에서, 용기는 CVD 또는 ALD 공정용 반응기에 하나 이상의 전구체를 전달할 수 있도록 적절한 밸브 및 피팅을 갖춘 하나 이상의 가압될 수 있는 용기(바람직하게 스테인레스 스틸)를 포함한다. 이 또는 다른 구체예에서, 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체가 스테인레스 스틸로 구성된 가압될 수 있는 용기에 제공되고 전구체의 순도는, 대부분의 반도체 어플리케이션에 적합한 98 중량% 또는 이를 초과하거나 99.5 중량% 또는 이를 초과한다. 소정의 구체예에서, 이러한 용기는 또한, 원하는 경우 하나 이상의 추가적인 전구체를 혼합하기 위한 수단을 구비할 수 있다. 이들 또는 다른 구체예에서, 용기의 내용물(들)은 추가적인 전구체로 사전 혼합될 수 있다. 택일적으로, 오가노아미노실란 전구체 및/또는 다른 전구체는 분리된 용기들 또는 저장하는 동안 오가노아미노실란 전구체 및 다른 전구체가 분리된 상태를 유지하기 위한 분리 수단을 갖는 단일의 용기에서 유지될 수 있다.
상술한 바와 같이, 오가노아미노실란의 순도 수준은 신뢰성 있는 반도체 제조 공정에 충분히 허용되기 위해 충분히 높다. 소정의 구체예에서, 본 발명의 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체는 2 중량% 미만, 또는 1 중량% 미만, 또는 0.5 중량% 미만의 하나 이상의 아래의 불순물을 포함한다: 유리 아민, 할라이드, 및 고분자량 종들. 본 발명의 오가노아미노실란의 높은 순도 수준은 하나 이상의 아래의 공정들을 통해 얻어질 수 있다: 정제, 흡착, 및/또는 증류.
본 발명의 방법의 한 구체예에서, 시클릭 증착 공정 예컨대 CCVD, ALD, 또는 PEALD가 사용될 수 있으며, 여기서 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체로부터 선택된 하나 이상의 실리콘-함유 전구체 및 임의의 질소-함유 공급원 예컨대, 예를 들어, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마를 사용할 수 있다.
본 발명의 방법의 소정의 구체예에서, 환원제가 증착 공정에 사용될 수 있다. 환원제의 예들은, 이들로 제한되는 것은 아니지만, 수소, 히드라진, 또는 수소 플라즈마이다.
소정의 구체예에서, 전구체 캐니스터로부터 반응 챔버로 연결된 가스 라인은 공정 요건에 의존하는 하나 이상의 온도로 가열되며, 화학식 A, B, 또는 C를 갖는 오가노아미노실란 전구체의 컨테이너는 버블링을 위한 하나 이상의 온도로 유지된다. 다른 구체예에서, 화학식 A, B, 또는 C를 갖는 하나 이상의 실리콘-함유 전구체를 포함하는 용액은 직접 액체 주입을 위한 하나 이상의 온도에서 유지되는 기화기(vaporizer)로 주입된다.
아르곤 및/또는 다른 가스의 흐름이, 전구체 펄싱 동안 반응 챔버로 하나 이상의 오가노아미노실란 전구체의 증기를 운반하는 것을 보조하기 위한 캐리어 가스로서 사용될 수 있다. 소정의 구체예에서, 반응 챔버 공정 압력은 약 1 Torr이다.
전형적인 ALD 또는 CCVD 공정에서, 기판 예컨대 실리콘 옥사이드 기판은 복합체가 상기 기판의 표면으로 화학적으로 흡착할 수 있도록 처음에 실리콘-함유 전구체에 노출되는 반응 챔버 내의 가열 스테이지에서 가열된다.
퍼징 가스 예컨대 아르곤 퍼징이 공정 챔버로부터 흡착되지 않은 과량의 복합체를 제거한다. 충분한 퍼징 후, 질소-함유 공급원이 흡착된 표면과 반응하기 위해 반응 챔버 내로 도입될 수 있으며, 뒤이어 챔버로부터 반응 부산물을 제거하기 위한 다른 가스가 퍼징될 수 있다. 공정 싸이클은 원하는 필름 두께를 달성하기 위해 반복될 수 있다.
이 또는 다른 구체예에서, 본 발명의 방법의 단계들은 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시(예를 들어, 적어도 일부의 다른 단계 동안) 및 이들의 임의의 조합으로 수행될 수 있다는 점이 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하는 각각의 단계는 최종 실리콘 함유 필름의 화학량론적인 조성을 변화시키기 위해 이들을 공급하기 위한 시간의 주기를 변화시키면서 수행될 수 있다.
본 발명의 방법의 다른 구체예에서, 실리콘 및 질소 모두를 함유한 필름은 아래의 단계들을 포함하는 ALD 증착 방법을 사용하여 형성된다:
ALD 반응기 내에 기판을 제공하는 단계;
화학식 A, B, 및 C를 갖는 하나 이상의 오가노아미노실란 또는 이들의 혼합물을 상기 ALD 반응기 내로 도입하는 단계;
Figure pat00018
기판상에 하나 이상의 오가노아미노실란 전구체를 화학적 흡착시키는 단계;
퍼징 가스를 사용하여 반응하지 않은 하나 이상의 오가노아미노실란 전구체를 제거하는 단계;
흡착된(sorbed) 하나 이상의 오가노아미노실란 전구체와 반응하도록 가열된 기판상의 오가노아미노실란 전구체로 질소-함유 공급원을 공급하는 단계; 및
임의적으로 임의의 반응하지 않은 질소-함유 공급원을 제거하는 단계,
여기서 R은 독립적으로 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 가지거나 치환기를 가지지 않는 실릴기로부터 선택되고; R1은 독립적으로 C3-C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가진 실릴기로부터 선택되며; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 1 내지 10개의 범위의 탄소 원자의 수를 갖는 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하기 위해 조합될 수 있다.
본 발명의 방법의 다른 구체예에서, 실리콘 함유 필름은 아래의 단계들을 포함하는 ALD 증착 방법을 사용하여 형성된다:
반응기 내에 기판을 제공하는 단계;
화학식 A, B, 및 C를 갖는 하나 이상의 오가노아미노실란 또는 이들의 혼합물을 상기 반응기 내로 도입하는 단계;
Figure pat00019
기판상에 하나 이상의 오가노아미노실란 전구체를 화학적 흡착시키는 단계;
퍼징 가스를 사용하여 반응하지 않은 하나 이상의 오가노아미노실란 전구체를 제거하는 단계;
흡착된 하나 이상의 오가노아미노실란 전구체와 반응하도록 가열된 기판상의 오가노아미노실란 전구체로 산소 공급원을 공급하는 단계; 및
임의적으로 임의의 반응하지 않은 산소 공급원을 제거하는 단계,
여기서 R은 독립적으로 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 가지거나 치환기를 가지지 않는 실릴기로부터 선택되고; R1은 독립적으로 C3-C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가진 실릴기로부터 선택되며; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 1 내지 10개의 범위의 탄소 원자의 수를 갖는 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하기 위해 조합될 수 있다.
본 발명의 방법의 추가적인 구체예에서, 오가노아미노실란 전구체가 무정형 필름, 결정형 실리콘 필름, 또는 이들의 혼합물인 실리콘 함유 필름을 증착하기 위해 사용된다. 이들 구체예에서, 실리콘 함유 필름은 아래의 단계들을 포함하는 ALD 또는 순환 CVD로부터 선택된 증착 방법을 사용하여 형성된다:
주변 온도 내지 약 700℃의 온도 영역으로 가열되고 1 Torr 또는 그 미만의 압력에서 유지되는 반응기 내로 기판을 위치시키는(placing) 단계;
화학식 A, B, 및 C를 갖는 하나 이상의 오가노아미노실란 또는 이들의 혼합물을 상기 반응기 내로 도입하는 단계; 및
Figure pat00020
하나 이상의 기판상에서 하나 이상의 오가노아미노실란 전구체와 일부 또는 전부 반응하고 실리콘 함유 필름을 증착하기 위해 상기 반응기 내로 환원제를 제공하는 단계, 여기서 환원제는 수소, 수소 플라즈마, 또는 염화수소로 구성되는 군으로부터 하나 이상 선택되고,
여기서 R은 독립적으로 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 가지거나 치환기를 가지지 않는 실릴기로부터 선택되고; R1은 독립적으로 C3-C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 가진 실릴기로부터 선택되며; R2는 단일 결합; 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은, 1 내지 10개의 범위의 탄소 원자의 수를 갖는 탄화수소 사슬; 포화 또는 불포화, 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 여기서 화학식 A에서의 R 및 R1은 또한 시클릭기를 형성하기 위해 조합될 수 있다.
상술한 단계들은 본 발명의 방법에 대해 한 순환 주기로 한정되고; 상기 순환 주기는 원하는 두께의 실리콘 함유 필름이 얻어질 때까지 반복될 수 있다. 이 또는 다른 구체예에서, 본 발명의 방법의 단계들은 다양한 순서로 수행될 수 있으며, 순차적으로 또는 동시(예를 들어, 적어도 일부의 다른 단계 동안) 및 이들의 임의의 조합으로 수행될 수 있다는 점이 이해된다. 언제나 이용 가능한 실리콘에 비해 화학량론적 양 미만의 산소가 사용되긴 하지만, 전구체 및 산소 공급원을 공급하는 각각의 단계는 최종 실리콘 함유 필름의 화학량론적인 조성을 변화시키기 위해 이들을 공급하기 위한 시간의 주기를 변화시키면서 수행될 수 있다.
다중-성분 실리콘 함유 필름을 위해, 다른 전구체 예컨대 실리콘-함유 전구체, 질소-함유 전구체, 환원제, 또는 다른 시약이 반응기 챔버 내로 택일적으로 도입될 수 있다.
본원에 기재된 방법의 추가의 구체예에서, 실리콘 함유 필름은 열적 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은,
주위 온도 내지 약 700℃ 범위의 온도로 가열되고, 1 Torr 또는 그 미만의 압력에서 유지되는 반응기 내에 하나 이상의 기판을 위치시키는 단계;
하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노마이노실란 또는 이들의 혼합물을 주입하는 단계; 및
반응기 내에 산소 공급원을 제공하여 하나 이상의 오가노아미노실란 전구체와 적어도 일부 반응시키고, 하나 이상의 기판 상에 실리콘 함유 필름을 증착시키는 단계를 포함한다:
Figure pat00021
상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬 기; C3 내지 C10 시클릭 알킬 기; C5 내지 C10 방향족 기; C3 내지 C10 포화되거나 불포화된 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐 기; C1 내지 C10 알콕시 기; C1 내지 C10 알킬아미노 기; 또는 화학식 C에서 치환기가 있거나 치환기가 없는 실릴 기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬 기; C3 내지 C10 시클릭 알킬 기; C5 내지 C10 방향족 기; C3 내지 C10 포화되거나 불포화된 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐 기; C1 내지 C10 알콕시 기; C1 내지 C10 알킬아미노 기; 또는 치환기가 있는 실릴 기로부터 독립적으로 선택되고; R2는 단일 결합; 포화되거나 불포화된, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자의 수가 1 내지 10개의 범위에 있는 탄화수소; 포화되거나 불포화된 카보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 화학식 A에서 R 및 R1은 또한 시클릭기를 형성하기 위해 결합될 수 있다. CVD 방법의 특정 구체예에서, 반응기는 주입 단계 동안 100mT Torr 내지 600mT Torr 범위의 압력에서 유지된다.
상기 단계들은 본원에 기재된 방법에 대한 한 주기를 나타내고, 주기는 요망되는 두께의 실리콘 함유 필름이 얻어질 때까지 반복될 수 있다. 상기 또는 다른 구체예에서, 본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에 수행될 수 있고(예를 들어, 적어도 일부의 또 다른 단계 도중에), 임의의 이들의 조합일 수 있다. 전구체 및 산소 공급원을 공급하는 각 단계는 이용가능한 실리콘에 대한 화학량론적 양 미만의 산소를 항상 사용하지만, 형성된 실리콘 함유 필름의 화학량론적 조성을 변화시키기 위해 이들을 공급하는 시간을 달리하여 수행될 수 있다.
다중-성분 실리콘 함유 필름의 경우, 다른 전구체, 예컨대, 실리콘-함유 전구체, 질소-함유 전구체, 산소 공급원, 환원제, 및/또는 다른 시약이 대안적으로 반응기 챔버 내에 주입될 수 있다.
본원에 기재된 방법의 추가의 구체예에서, 실리콘 함유 필름은 열적 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은,
주위 온도 내지 약 700℃ 범위의 온도로 가열되고, 1 Torr 또는 그 미만의 압력에서 유지되는 반응기 내에 하나 이상의 기판을 위치시키는 단계;
하나 이상의 실리콘 함유 전구체로서 사용되는 하기 화학식 A, B, 및 C를 지니는 하나 이상의 오가노마이노실란 또는 이들의 혼합물을 주입하는 단계; 및
반응기 내에 질소-함유 공급원을 제공하여 하나 이상의 오가노아미노실란 전구체와 적어도 일부 반응시키고, 하나 이상의 기판 상에 실리콘 함유 필름을 증착시키는 단계를 포함한다:
Figure pat00022
상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬 기; C3 내지 C10 시클릭 알킬 기; C5 내지 C10 방향족 기; C3 내지 C10 포화되거나 불포화된 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐 기; C1 내지 C10 알콕시 기; C1 내지 C10 알킬아미노 기; 또는 화학식 C에서 치환기가 있거나 치환기가 없는 실릴 기로부터 독립적으로 선택되고; R1은 C3-C10 선형 또는 분지형 알킬 기; C3 내지 C10 시클릭 알킬 기; C5 내지 C10 방향족 기; C3 내지 C10 포화되거나 불포화된 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐 기; C1 내지 C10 알콕시 기; C1 내지 C10 알킬아미노 기; 또는 치환기가 있는 실릴 기로부터 독립적으로 선택되고; R2는 단일 결합; 포화되거나 불포화된, 선형 또는 분지형, 치환되거나 치환되지 않은, 탄소 원자의 수가 1 내지 10개의 범위에 있는 탄화수소; 포화되거나 불포화된 카보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2를 나타내고, 화학식 A에서 R 및 R1은 또한 시클릭기를 형성하기 위해 결합될 수 있다. CVD 방법의 특정 구체예에서, 반응기는 주입 단계 동안 100mT Torr 내지 600mT Torr 범위의 압력에서 유지된다.
특정 구체예에서, 본원에 기재된 화학식 A, B, 및 C를 지니는 오가노마이노실란 전구체는 또한 금속 함유 필름, 예컨대, 이로 제한되지는 않지만, 금속 산화물 필름 또는 금속 질화물 필름을 위한 도판트로 사용될 수 있다. 이러한 구체예에서, 금속 함유 필름은 금속 알콕사이드, 금속 아미드, 또는 휘발성 유기금속 전구체를 사용하는 본원에 기재된 공정과 같은 ALD 또는 CVD 공정을 이용하여 증착된다. 본원에 기재된 방법에 사용될 수 있는 적합한 금속 알콕사이드 전구체의 예에는 3 내지 6족 금속 알콕사이드, 알콕시 및 알킬 치환된 시클로펜타디에닐 리간드 둘 모두를 지니는 3 내지 6족 금속 착물, 알콕시 및 알킬 치환된 피롤릴 리간드 둘 모두를 지니는 3 내지 6족 금속 착물, 알콕시 및 디케토네이트 리간드 둘 모두를 지니는 3 내지 6족 금속 착물; 알콕시 및 케토에스테르 리간드 둘 모두를 지니는 3 내지 6족 금속 착물이 포함되지만, 이로 제한되지 않는다. 본원에 기재된 방법에 사용될 수 있는 적합한 금속 아미드 전구체의 예에는 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄 (TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 및 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), 3차-부틸이미노 트리(디에틸아미노)탄탈륨(TBTDET), 3차-부틸이미노 트리(디메틸아미노)탄탈륨 (TBTDMT), 3차-부틸이미노 트리(에틸메틸아미노)탄탈륨(TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈륨(EITDET), 에틸이미노 트리(디메틸아미노)탄탈륨 (EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈륨(EITEMT), 3차-아밀이미노 트리(디메틸아미노)탄탈륨(TAIMAT), 3차-아밀이미노 트리(디에틸아미노)탄탈륨, 펜타키스(디메틸아미노)탄탈륨, 3차-아밀이미노 트리(에틸메틸아미노)탄탈륨, 비스(3차-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(3차-부틸이미노)비스(디에틸아미노)텅스텐, 비스(3차-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합물이 포함되지만, 이로 제한되지 않는다. 본원에 기재된 방법에 사용될 수 있는 적합한 유기금속 전구체의 예에는 3족 금속 시클로펜타디에닐 또는 알킬 시클로펜타디에닐이 포함되지만, 이로 제한되지 않는다. 본원의 예시적인 3 내지 6족 금속에는 Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, 및 W이 포함되지만, 이로 제한되지 않는다.
특정 구체예에서, 형성된 실리콘 함유 필름 또는 코팅은 이로 제한되지는 않지만, 플라즈마 처리, 화학적 처리, 자외선 노출, 전자 빔 노출, 및/또는 다른 처리와 같은 후증착 처리에 노출되어 하나 이상의 필름의 특성에 영향을 줄 수 있다.
특정 구체예에서, 본원에 기재된 실리콘 함유 필름은 6 또는 그 미만의 유전 상수를 지닌다. 상기 또는 다른 구체예에서, 필름은 약 5 또는 그 미만, 또는 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만의 유전 상수를 지닐 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮은)를 지니는 필름이 요망되는 필름의 최종 용도에 좌우하여 형성될 수 있음이 예상된다. 예시의 실리콘 함유 필름 또는 본원에 기재된 공정 및 오가노아미노실란 전구체를 사용하여 형성된 실리콘 함유 필름은 예를 들어, XPS 또는 다른 수단에 의해 측정된 바와 같이, 화학식 SixOyCzNvHw을 지니고, 상기 화학식에서 Si는 약 10% 내지 약 40%의 범위이고; O(산소)는 약 0% 내지 약 65%의 범위이고; C는 약 0% 내지 약 75% 또는 약 0% 내지 약 50%의 범위이고; N은 약 0% 내지 약 75% 또는 약 0% 내지 50%의 범위이고; H는 약 0% 내지 약 50%의 원자 중량%의 범위이고, 여기서 x+y+z+v+w = 100 원자 중량%이다.
앞서 언급된 바와 같이, 본원에 기재된 방법은 적어도 일부의 기판 상에 실리콘-함유 필름을 증착시키는데 사용될 수 있다. 적합한 기판의 예에는 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 무반사 코팅(antireflective coating), 포토레지스트(photoresist), 유기 폴리머, 다공성 유기 및 무기 재료, 금속, 예컨대, 구리 및 알루미늄, 및 확산 방지 층, 예컨대, 이로 제한되지는 않지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN이 포함되지만, 이로 제한되지 않는다. 상기 필름은 예를 들어, 화학 기계적 연마(chemical mechanical planarization; CMP) 및 이방성 에칭 공정과 같은 다양한 후속 가공 단계와 양립가능하다.
증착된 필름은 컴퓨터 칩, 광학 장치, 자기 정보 저장매체, 보강 재료 또는 기판 상의 코팅, 마이크로전자기계 시스템(microelectromechanical system; MEMS), 나노전자기계 시스템(nanoelectromechanical system), 박막 트랜지스터(TFT). 및 액정 디스플레이(LCD)가 포함되지만, 이로 제한되지 않는 용도를 지닌다.
하기 실시예는 본원에 기재된 오가노아미노실란 전구체뿐만 아니라 증착된 실리콘-함유 필름을 제조하는 방법을 설명하는 것이고, 어떠한 방식으로 제한하는 것으로 의도되지 않는다.
실시예
실시예 1: 화학식 A를 지니는 N-이소프로필시클로헥실아미노실란 및 그 밖의 오가노아미노실란 전구체의 합성
247.3g(1.75mol)의 N-이소프로필시클로헥실아민 및 229.9g(1.75mol)의 디-이소프로필아미노실란을 500ml Schlenk 플라스크 중에서 질소 하에 8일 동안 환류시켰다. 부산물인 디-이소프로필아민을 40mmHg의 압력 및 50℃에서 진공으로 제거하였다. 분별 진공 증류로 50g의 순수한 N-이소프로필시클로헥실아미노실란을 얻었다. 약 199℃에서 시차 주사 열량법(differential scanning calorimetry; DSC)에 의해 기준 비점(1기압에서 측정됨)을 측정하였다. 최종 생성물은 도 1에 제시된 질량 분광기(MS)에 의해 171 (M+), 156 (M-CH3)에서 피크를 갖는 것으로 특징지어졌다.
2개의 10cc 스테인레스 스틸 앰플을 사용 전에 주의하여 세척하고, 175℃에서 건조시켰다. 5g의 N-이소프로필시클로헥실아민의 샘플들을 글러브 박스 내에서 앰플에 각각 넣었다. 이후, 앰플을 1주 및 2주 동안의 간격으로 80℃±2℃로 미리 설정된 실험실 오븐을 사용하여 일정한 온도 환경에서 저장하였다. 분해 정도를 측정하기 위해 샘플들을 가스 크로마토그래피(GC)로 평가하였다. GC 결과는 분석물이 1주 동안 약 0.20중량% 및 2주 동안 0.27중량%만이 감소하였음을 나타냈고, 이것은 이들이 탁월한 안정성을 지니고, 신뢰가능한 반도체 공정에 적합한 전구체로서 사용될 수 있음을 입증한다.
화학식 A의 추가의 오가노아미노실란 전구체를 표 I에 제시된 하나 이상의 하기 아민 및 디-이소-프로필아미노실란을 사용하여 식(1)에서 본원에 기재된 반응식에 따라 제조하였다. 요망되는 화학식 A를 갖는 오가노아미노실란을 진공 증류에 의해 얻고, 질량 분광기(MS)로 특징지었다. 상기 오가노아미노실란의 확실함을 확인하기 위하여 각각의 오가노아미노실란 전구체의 분자량(MW), 구조, 및 상응하는 MS 단편화 피크를 표 III에 제시하였다.
표 III. 화학식 A를 갖는 오가노아미노실란
Figure pat00023
Figure pat00024
Figure pat00025
Figure pat00026
실시예 2: 화학식 B를 지니는 N-2-피리딜디실라잔 및 그 밖의 오가노아미노실란 전구체의 합성
500 ml의 Schlenk 플라스크에서, 57 (0.5 mol)의 2-아미노피리딘 및 196.5 g (1.5 mol)의 디-이소프로필아미노실란을 주위 온도에서 질소 대기하에 12시간 동안 교반하였다. 비교적 낮은 비등점 부산물인 디-이소프로필아민을 20 mmHg의 압력 및 실온(25℃)에서 진공으로 제거하였다. 그 후, 반응 혼합물을 추가로 12시간 동안 교반하였다. 60℃의 비등점을 이용한 6 mm Hg에서의 진공 증류에 의해 생성물 N-2-피리딜디실라잔 (65 g, 84.5% 수율)을 수득하였다. 최종 생성물을 질량 분석(MS)에 의해 특성화하였고, 이것을 도 1에 제공하며 특히 154 (M+), 153 (M-CH3), 123 (M-SiH3), 121, 106, 94, 및 80에서 피크를 나타낸다. N-2-피리딜디실라잔의 분자량은 154.32였다.
디-이소-프로필아미노실란 및 표 II (화학식 B)에 제공된 하기 아민들 중 하나 이상을 이용하여 반응 혼합물을 제공하고, 반응 혼합물을 주위 온도에서 질소 대기하에 12시간 동안 교반하는 본원의 식 (5)에 기재된 반응식에 따라 추가의 오가노아미노실란 전구체를 제조하였다. 선택된 아민의 선택은 요망되는 생성된 최종-생성물 전구체에 영향을 주었다. 예를 들어, N-아다만틸디실라잔은 디-이소-프로필아미노실란 및 1-아다만틸아민을 포함하는 반응 혼합물로부터 제조되었다. 비교적 낮은 비등점 부산물인 디-이소프로필아민을 20 mmHg의 압력 및 실온 (25℃)에서 진공으로 제거하였다. 그 후, 반응 혼합물을 추가로 12시간 동안 교반하였다. 화학식 B를 지니는 요망되는 오가노아미노실란 최종-생성물을 진공 증류에 의해 수득하였다. 최종-생성물을 질량 분석 (MS)에 의해 특성화하였고 각각의 최종-생성물에 대한 피크 및 분자량을 표 IV에 제공함으로써 이들이 확실함을 확인한다.
표 IV. 화학식 B를 지니는 오가노아미노실란
Figure pat00027
Figure pat00028
Figure pat00029
Figure pat00030
Figure pat00031
Figure pat00032
Figure pat00033
실시예 3: 화학식 C를 지니는 N,N'-디실릴-트랜스-2,5-디메틸피페리진 및 그 밖의 오가노아미노실란 전구체의 합성
500 ml의 Schlenk 플라스크에서 57 (0.5 mol) 트랜스-2,5-디메틸피페리진 및 196.5 g (1.5 mol)의 디-이소프로필아미노실란을 주위 온도에서 질소 대기하에 12시간 동안 교반하였다. 비교적 낮은 비등점 부산물인 디-이소프로필아민을 20 mmHg의 압력 및 실온 (25℃)에서 진공으로 제거하였다. 그 후, 반응 혼합물을 추가로 12시간 동안 교반하였다. 54℃의 비등점을 이용하여 10 mm Hg에서 진공 증류에 의해 생성물 N,N'-디실릴-트랜스-2,5-디메틸피페리진 (78 g, 90% 수율)을 수득하였다. 최종-생성물을 질량 분석 (MS)에 의해 특성화하였고, 이것을 도 2에 제공하며 특히 174 (M+), 159 (M-CH3), 143 (M-SiH3), 131, 117, 100, 83, 72, 및 58에서 피크를 나타낸다. N,N'-디실릴-트랜스-2,5-디메틸피페리진의 분자량은 174.39였다.
디-이소-프로필아미노실란 및 표 II (화학식 B 또는 C)에 제공된 하기 아민들 중 하나 이상을 이용하여 반응 혼합물을 제공하고, 반응 혼합물을 주위 온도에서 질소 대기하에 12시간 동안 교반하는 본원의 식 (6)에 기재된 반응식에 따라 추가의 오가노아미노실란 전구체를 제조하였다. 선택된 아민의 선택은 요망되는 생성된 최종-생성물 전구체에 영향을 주었다. 예를 들어, N,N'-디(2-이리미디노)트리실라잔은 디-이소-프로필아미노실란 및 2-아미노피리미딘을 포함하는 반응 혼합물로부터 제조되었다. 비교적 낮은 비등점 부산물인 디-이소프로필아민을 20 mmHg의 압력 및 실온 (25℃)에서 진공으로 제거하였다. 그 후, 반응 혼합물을 추가로 12시간 동안 교반하였다. 화학식 C를 지니는 요망되는 오가노아미노실란 최종-생성물을 진공 증류에 의해 수득하였다. 최종-생성물을 질량 분석 (MS)에 의해 특성화하였고 각각의 최종-생성물에 대한 피크 및 분자량을 표 V에 제공한다.
표 V. 화학식 C를 지니는 오가노아미노실란
Figure pat00034
Figure pat00035
실시예 4: 화학식 A 전구체의 상대적인 화학적 안정성의 컴퓨터 시뮬레이션
증착 공정을 위한 전구체 후보의 열적 안정성을 이해하기 위해, 양자 역학적 계산을 하기 화학식 A 전구체에 대해 수행하였다: N-실릴데카히드로퀴놀린, N-메틸시클로헥실아미노실란, N-에틸시클로헥실아미노실란, N-이소프로필시클로헥실아미노실란, 및 디시클로헥실아미노실란. 하기 스크램블링 반응의 역학적 및 열역학적 거동을 평가하기 위해, 양자 역학적 계산을 밀도 함수 이론 (DFT)을 이용하여 달성하였다:
2SiH3L → SiH4+SiH2L2
(상기 식에서, L=데카히드로퀴놀린, N-메틸시클로헥실아미노, N-에틸시클로헥실아미노, N-이소-프로필시클로헥실아미노 및 디시클로헥실아미노기이다).
이러한 특정 반응은 실린더 공간부분에서 압력 증대로 인한 잠재적인 안전성 위험인자인 실란 (SiH4) 형성의 실험적 증거이므로, 시뮬레이션을 위해 선택되었다. 이러한 반응이 첫 번째이며 일반적으로 최종 생성물로서 SiX4 및 SiH4를 생성하는 일련의 유사한 단계에서 속도 제한적인 것으로 인정된다. 계산은 Accelrys (B. Delley, J. Chem. Phys. 92, 508 1990; B. Delley, J. Chem. Phys. 113, 7756 2000)에 의한 Materials Studio® 5.5의 Dmol3 모듈에서 실행된 대로 4.0 oA 포괄적 컷오프 및 이중 수치적 분광된 기저계와 함께 B88 교환 기능 (Becke, Phys. Rev. A 38, 3098 1988) 및 LYP 상호관계 기능 (Lee Yang Parr, Phys. Rev. B 37, 785 1988)으로 구성된 BLYP 밀도 함수를 이용하여 모든 전자 어림법으로 수행되었다.
계산 결과를 표 VI에 제공한다. 표 VI로부터, 모든 반응이 열에 의해 촉진되거나 열 중립(thermal neutrality)에 근접한 것을 알 수 있다 (네거티브 또는 반응 에너지 0에 근접함에 의해 표시됨, Erxn). 표 VI는 또한 질소 원자에 부착된 탄소 원자 상에서 벌크성(bulkiness)을 증가시키는 것이 스크램블링 반응에 대한 활성 에너지 (Ea)를 증가시킴을 나타내는데, 이는 동역학을 느리게 함에 의한 이러한 열 분해 메커니즘과 관련된 증가된 열 안정성을 나타낸다. 활성화 에너지의 증가는 반응물과 생성물 사이의 에너지 장벽을 해소하기 위해 충분한 에너지를 지니는 더 적은 분자 부분을 초래한다 (그 형성은 다르게는 열역학에 의해 촉진될 것이다). 그 결과는 주어진 온도에서 화학적 반응의 감속이거나, 대안적으로 이러한 메카니즘에 의해 특정 속도의 분해에 도달하기 위해 필요한 온도의 상승이다.
표 VI. 상대적인 화학적 안정성의 비교 (kcal/mol로 표시된 에너지)
Figure pat00036
실시예 5: 실리콘 옥사이드 필름의 원자층 증착
하기 화학식 A 전구체를 이용하여 실리콘 옥사이드 필름의 원자층 증착을 수행하였다: N-메틸시클로헥실아미노실란 , N-에틸시클로헥실아미노실란, 및 N-이소프로필시클로헥실아미노실란. 실험실 규모 ALD 가공 툴 상에서 증착을 수행하였다. 증착 구역으로 들어가기 전에 모든 가스 (예컨대, 퍼징 및 반응물 가스 또는 전구체 및 산소원)를 100℃로 예비가열하였다. 가스 및 전구체 유량은 고속 발동력을 갖는 ALD 격막 밸브로 제어되었다. 증착에 사용된 기판은 기판 온도를 확인하기 위해 샘플 홀더 상에 부착된 열전대를 지니는 12인치 길이의 실리콘 스트립이었다. 산소원으로서 오존을 이용한 400회 사이클의 기준선을 이용하여 증착을 수행하였고 증착의 공정 파라메터를 표 VII에 제공한다.
표 VII. O3를 이용하여 기본 ALD 옥사이드 필름을 생성하기 위한 공정
Figure pat00037
생성된 SiO2 필름을 증착률 및 굴절률에 대해 특성화하였다. 필름의 두께 및 굴절률을, 필름으로부터의 굴절 데이터를 미리 설정된 물리적 모델 (예컨대, Lorentz Oscillator 모델)에 핏팅시킴에 의해 FilmTek 2000SE 엘립소메타를 이용하여 측정하였다. 굴절률의 경우, 약 1.44 내지 1.47의 값이 전형적인 CVD 실리콘 옥사이드 필름을 반영할 것이다. 시험된 전구체 증착된 필름은 모두 약 1.4 내지 약 1.5 범위의 굴절률을 지닌다.
실리콘 옥사이드 필름을 ALD를 통해 150℃ 기판 온도에서 N-메틸시클로헥실아미노실란 및 오존을 이용하여 증착시켰다. N-메틸시클로헥실아미노실란 전구체는 1초 내지 5초의 펄스 시간으로 17 Torr에서 전달된 한편 오존 흐름은 5000 sccm에서 4초로 고정된다. 도 7은 N-메틸시클로헥실아미노실란 필름에 대한 증착률이 전구체 펄스 시간과 무관함을 나타내며, 이것은 2Å/사이클에서 자기 제한적 거동을 나타낸다. 필름의 굴절률은 실리콘 옥사이드 필름에 대해 전형적인 1.45 내지 1.47이었다.
실리콘 옥사이드 필름을 N-메틸시클로헥실아미노실란 및 오존을 이용하여 75℃, 100℃ 및 150℃ 온도에서 ALD를 통해 증착시켰다. N-메틸시클로헥실아미노실란 전구체를 17 Torr에서 2초간 전달한 한편, 오존 흐름은 5000 sccm에서 4초로 고정되었다. 표 VIII은 증착률을 기판 온도의 함수로서 제공한다.
실리콘 옥시카바이드 필름을 N-에틸시클로헥실아미노실란 및 오존을 이용하여 45℃ 내지 150℃ 범위의 다양한 온도에서 ALD를 통해 증착시켰다. N-에틸시클로헥실아미노실란 전구체를 9 Torr에서 2초간 전달시킨 한편, 오존 흐름은 5000 sccm에서 4초로 고정되었다. 표 VIII은 증착률을 기판 온도의 함수로서 제공한다. 증착된 필름에 대한 굴절률을 또한 표 VIII에 제공한다. N-에틸시클로헥실아미노실란 증착된 필름의 굴절률은 온도가 증가함에 따라 1.60에서 1.45로 감소되었다. 더 높은 굴절률은 실리콘 옥사이드 필름에서 더 많은 탄소 도펀트를 나타낸다.
실리콘 옥시카바이드 필름을 N-이소프로필시클로헥실아미노실란 및 오존을 이용하여 45℃ 내지 300℃ 범위의 다양한 온도에서 ALD를 통해 증착시켰다. N-이소프로필시클로헥실아미노실란 전구체를 3 Torr에서 4초간 전달시킨 한편, 오존 흐름은 5000 sccm에서 2초로 고정되었다. 표 VIII은 증착률을 기판 온도의 함수로서 제공한다. 증착된 필름의 굴절률이 또한 표 VIII에 제공된다. N-에틸시클로헥실아미노실란 증착된 필름의 굴절률은 온도가 증가함에 따라 1.77에서 1.50으로 감소되었다. N-메틸시클로헥실아미노실란, N-에틸시클로헥실아미노실란, N-이소프로필시클로헥실아미노실란을 이용한 증착률 대 필름 증착되는 온도의 비교가 표 VIII에 제공된다. 표 VIII은, 메틸과 같은 보다 작은 R 치환기에서보다 높은 굴절률에 의해 입증된 바와 같이, 이소프로필과 같은 더 큰 R 치환기가 증착 공정 동안 입체 장애를 초래하여 증착률을 낮출 뿐만 아니라 더 많은 탄소가 혼입됨을 나타낸다. 그러나, 매우 실현가능하게도, 오존 이외의 산화제를 이용하는 것과 같이 ALD 조건을 조정함에 의해 탄소 함량을 감소시킬 수 있어서, 고 순도 실리콘 옥사이드를 증착시키는데 N-에틸시클로헥실아미노실란 또는 N-이소프로필시클로헥실아미노실란이 이용될 수 있다.
표 VIII: ALD 증착 결과
Figure pat00038

Claims (27)

  1. 하기 화학식 A, B, 또는 C 중 하나로 표시되는 오가노아미노실란 (organoaminosilane):
    Figure pat00039

    상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 지니거나 지니지 않는 실릴기로부터 독립적으로 선택되고;
    R1은 C3 내지 C10 선형, 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 지니는 실릴기로부터 독립적으로 선택되고;
    R2는 단일 결합; 탄소 원자의 수가 1 내지 10개인 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은 탄화수소 사슬; 포화 또는 불포화 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2이고,
    여기서 화학식 A에서의 R 및 R1은 또한 결합하여 시클릭기를 형성할 수 있고;
    또한 화학식 C에서의 R, R1 및 R2 중 하나 이상은 결합하여 시클릭기를 형성할 수 있다.
  2. 제 1항에 있어서, R 및 R1이 결합하여 치환되거나 치환되지 않은 5 또는 6원 카르보시클릭 또는 헤테로시클릭 방향족 고리를 형성하는 화학식 A를 포함하는 오가노아미노실란.
  3. 제 2항에 있어서, 상기 오가노아미노실란이 N-실릴피롤, N-실릴-2,5-디메티피롤, 및 1-실릴-7-아자인돌로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  4. 제 1항에 있어서, R 및 R1이 결합하여 치환되거나 치환되지 않은 5 또는 6원 카르보시클릭 또는 헤테로시클릭 지방족 고리를 형성하는 화학식 A를 포함하는 오가노아미노실란.
  5. 제 4항에 있어서, 상기 오가노아미노실란이 2,6-디메틸모르폴리노실란, 2-메틸피롤로디노실란, 및 N-실릴데카히드로퀴놀린으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  6. 제 1항에 있어서, R 및 R1이 동일한 치환기이고, 단, R 및 R1 둘 모두가 에틸, 이소프로필, 3차-부틸, 이소부틸, 2차-부틸, n-부틸, t-펜틸, 및 2차-펜틸기 중 어느 하나는 아닌, 화학식 A를 포함하는 오가노아미노실란.
  7. 제 1항에 있어서, R 및 R1이 상이한 치환기인 화학식 A를 포함하는 오가노아미노실란.
  8. 제 7항에 있어서, 상기 오가노아미노실란이 N-프로필-이소프로필아미노실란, N-메틸시클로헥실아미노실란, N-에틸시클로헥실아미노실란, 알릴페닐아미노실란, N-이소프로필시클로헥실아미노실란, 알릴시클로펜틸아미노실란, 페닐시클로헥실아미노실란, 및 2-(N-실릴메틸아미노)피리딘으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  9. 제 1항에 있어서, R이 치환된 C5-C10 방향족기이고, 여기서 방향족기가 헤테로원자, 알킬기, 알케닐기, 또는 알콕시기 중 하나 이상으로 치환된 것인, 화학식 B를 포함하는 오가노아미노실란.
  10. 제 1항에 있어서, R이 치환된 C2 내지 C10 알킬기이고, 여기서 알킬기가 헤테로원자, 알킬기, 방향족기, 알킬기, 알킬아미노기, 또는 알콕시기 중 하나 이상으로 치환된 것인, 화학식 B를 포함하는 오가노아미노실란.
  11. 하기 화학식 A, B, 또는 C를 지니는 오가노아미노실란 또는 이의 혼합물: 및
    에테르, 3차 아민, 니트릴, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노 에테르 또는 이의 혼합물로 구성된 군으로부터 선택된 용매를 포함하는, 실리콘 함유 필름을 증착시키기 위한 조성물:
    Figure pat00040

    상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 지니거나 지니지 않는 실릴기로부터 독립적으로 선택되고;
    R1은 C3 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 지니는 실릴기로부터 독립적으로 선택되고;
    R2는 단일 결합; 탄소 원자의 수가 1 내지 10개인 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은 탄화수소 사슬; 포화 또는 불포화 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2이고,
    여기서 화학식 A에서의 R 및 R1은 또한 결합하여 시클릭기를 형성할 수 있다.
  12. 제 11항에 있어서, 상기 오가노아미노실란과 상기 용매가 각각 비등점을 지니고, 오가노아미노실란의 비등점과 용매의 비등점의 차이가 40℃ 또는 그 미만인 조성물.
  13. 제 11항에 있어서, 상기 오가노아미노실란과 상기 용매가 각각 비등점을 지니고, 오가노아미노실란의 비등점과 용매의 비등점의 차이가 20℃ 또는 그 미만인 조성물.
  14. 기판을, 주위 온도 내지 약 700℃ 범위의 온도로 가열되고 1 Torr 또는 그 미만의 압력에서 유지된 반응기내에 정위시키는 단계;
    하기 화학식 A, B 및 C를 지니는 하나 이상의 오가노아미노실란 또는 이의 혼합물을 반응기내로 도입시키는 단계;
    환원제를 반응기에 제공함으로써 하나 이상의 오가노아미노실란 전구체와 적어도 부분적으로 반응시키고 실리콘 함유 필름을 하나 이상의 기판 상에 증착시키는 단계로서, 상기 환원제가 수소, 수소 플라즈마, 또는 히드라진으로 구성된 군으로부터 선택된 1종 이상인, 단계를 포함하며,
    상기 단계들은 요망되는 두께의 실리콘 함유 필름이 얻어질 때까지 반복되는, 원자층 증착(ALD) 또는 시클릭 화학 기상 증착(CVD)으로부터 선택된 증착 방법을 이용하여 결정형 필름, 무정형 실리콘 필름, 또는 이들의 혼합물로부터 선택된 실리콘 함유 필름을 형성하는 방법:
    Figure pat00041

    상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 화학식 C에서 치환기를 지니거나 지니지 않는 실릴기로부터 독립적으로 선택되고;
    R1은 C3 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; C1 내지 C10 알킬아미노기; 또는 치환기를 지니는 실릴기로부터 독립적으로 선택되고;
    R2는 단일 결합; 탄소 원자의 수가 1 내지 10개인 포화 또는 불포화, 선형 또는 분지형, 치환되거나 치환되지 않은 탄화수소 사슬; 포화 또는 불포화 카르보시클릭 또는 헤테로시클릭 고리; SiR2; 또는 SiH2이고,
    여기서 화학식 A에서의 R 및 R1은 또한 결합하여 시클릭기를 형성할 수 있다.
  15. 하기 화학식 A의 오가노아미노실란:
    Figure pat00042

    상기 식에서, R은 C1 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; 또는 C1 내지 C10 알킬아미노기로부터 독립적으로 선택되고;
    R1은 C3 내지 C10 선형 또는 분지형 알킬기; C3 내지 C10 시클릭 알킬기; C5 내지 C10 방향족기; C3 내지 C10 포화 또는 불포화 헤테로시클릭기; 수소 원자; 선형 또는 분지형 C2 내지 C10 알케닐기; C1 내지 C10 알콕시기; 또는 C1 내지 C10 알킬아미노기로부터 독립적으로 선택되고;
    단, 화학식 A에서의 R 및 R1은 하기로 구성된 군으로부터 선택된 어느 하나이다:
    (a) R 및 R1은 결합하여 치환되거나 치환되지 않은 5 또는 6원 헤테로시클릭 방향족 고리를 형성한다;
    (b) R 및 R1은 결합하여 치환되거나 치환되지 않은 5 또는 6원 헤테로시클릭 지방족 고리를 형성한다;
    (c) R 및 R1은 동일한 치환기이지만, 단, R 및 R1 둘 모두가 에틸, 이소프로필, 3차-부틸, 이소부틸, 2차-부틸, n-부틸, t-펜틸, 및 2차-펜틸기 중 어느 하나는 아니다;
    (d) R 및 R1은 상이한 치환기이다; 또는
    (e) R은 C5 내지 C6 시클릭 알킬기로부터 선택되고, R1은 선형 또는 분지형 C1 내지 C3 알킬기 또는 C5 내지 C6 시클릭 알킬기로 구성된 군으로부터 선택된다.
  16. 제 15항에 있어서, 상기 R 및 R1이 결합하여 치환되거나 치환되지 않은 5 또는 6원 헤테로시클릭 방향족 고리를 형성하고, 상기 오가노아미노실란이 N-실릴피롤, N-실릴-2,5-디메티피롤, 및 1-실릴-7-아자인돌로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  17. 제 15항에 있어서, 상기 R 및 R1이 결합하여 치환되거나 치환되지 않은 5 또는 6원 헤테로시클릭 지방족 고리를 형성하고, 상기 오가노아미노실란이 2,6-디메틸모르폴리노실란, 2-메틸피롤리디노실란, 및 N-실릴데카히드로퀴놀린으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  18. 제 15항에 있어서, 상기 R 및 R1이 동일한 치환기이고, 상기 오가노아미노실란이 디시클로헥실아미노실란을 포함하는 오가노아미노실란.
  19. 제 15항에 있어서, 상기 R 및 R1이 상이한 치환기이고, 상기 오가노아미노실란이 N-프로필-이소프로필아미노실란, N-메틸시클로헥실아미노실란, N-에틸시클로헥실아미노실란, 알릴페닐아미노실란, N-이소프로필시클로헥실아미노실란, 알릴시클로펜틸아미노실란, 페닐시클로헥실아미노실란, 및 2-(N-실릴메틸아미노)피리딘으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  20. 제 15항에 있어서, 상기 R이 C5 내지 C6 시클릭 알킬기로부터 선택되고 상기 R1이 선형 또는 분지형 C1 내지 C3 알킬기 또는 C5 내지 C6 시클릭 알킬기로 구성된 군으로부터 선택되는 오가노아미노실란.
  21. 하기 화학식 B의 오가노아미노실란:
    Figure pat00043

    상기 식에서, R은 C6 내지 C10 치환되거나 치환되지 않은 방향족기 (단, R은 페닐이 아니다); C3 내지 C10 치환되거나 치환되지 않은 시클릭 알킬기; 선형 또는 분지형, 치환되거나 치환되지 않은 C2 내지 C10 알케닐기; C1 내지 C10 알콕시알킬기; C1 내지 C10 알킬아미노 또는 디알킬아미노기; 또는 C4 내지 C10 선형 또는 분지형, 치환되거나 치환되지 않은 알킬기 (단, R은 치환되지 않은 3차-부틸, t-펜틸, 또는 시클로헥실기가 아니다)로부터 선택된다.
  22. 제 21항에 있어서, 상기 R이 치환된 C5 내지 C10 방향족기이고, 여기서 방향족기가 알킬기, 알케닐기, 아미노기, 또는 알콕시기 중 하나 이상으로 치환된 것인, 오가노아미노실란.
  23. 제 22항에 있어서, N-(4-메톡시페닐)디실라잔, N-(3-메톡시페닐)디실라잔, N-(2-메톡시페닐)디실라잔, N-(4-클로로페닐)디실라잔, N-(2-클로로페닐)디실라잔, N-(2-에틸페닐)디실라잔, N-(2,6-디에틸페닐)디실라잔, N-(2-프로필페닐)디실라잔, N-(4-t-부틸페닐)디실라잔, N-(4-이소-프로필페닐)디실라잔, N-(2-이소-프로필페닐)디실라잔, N-(3-에틸페닐)디실라잔, N-(4-2차-부틸페닐)디실라잔, N-(4-비닐페닐)디실라잔, N-(3-메틸페닐)디실라잔, N-(4-메틸페닐)디실라잔, N-(2,4,6-트리메틸페닐)디실라잔, 및 N-(2,6-디-이소프로필페닐)디실라잔으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  24. 제 21항에 있어서, 상기 R이 C5 내지 C10 헤테로시클릭기이고, 여기서 헤테로시클릭기가 고리에 N 또는 O 원자를 함유하며, 상기 기가 알킬기, 알케닐기, 아미노기, 또는 알콕시기 중 하나 이상으로 치환될 수 있는 오가노아미노실란.
  25. 제 24항에 있어서, 1-N-(2-피리딜)디실라잔, N,N-디실릴-2-아미노피리미딘, N-(4-메틸-2-피리딜)디실라잔, N-(6-메틸-2-피리딜)디실라잔, N-(3-메틸-2-피리딜)디실라잔, N-(5-메틸-2-피리딜)디실라잔, 및 N-[2-(4-메틸피리미디노)아미노]디실라잔으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
  26. 제 21항에 있어서, 상기 R이 치환된 C2 내지 C10 알킬기이고, 여기서 알킬기가 헤테로원자 (예컨대, N, Cl, O), 알킬기, 방향족기, 알킬기, 알킬아미노기, 또는 알콕시기 중 하나 이상으로 치환된 것인, 오가노아미노실란.
  27. 제 26항에 있어서, N-t-펜틸디실라잔, N-(2-디메틸아미노-1-메틸에틸)디실라잔, N-(2-디메틸아미노에틸)디실라잔, N-(1-시클로헥실에틸)디실라잔, N,N-디실릴쿠밀아민, N-[3,3-디메틸부일-2]디실라잔, N,N-디실릴-2-피콜릴아민, N,N-디실릴-2-(2-피리딜)에틸아민, 및 N,N-디실릴-1-(4-메틸페닐)에틸아민으로 구성된 군으로부터 선택된 어느 하나인 오가노아미노실란.
KR1020120055643A 2011-05-24 2012-05-24 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법 KR101470876B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161489486P 2011-05-24 2011-05-24
US61/489,486 2011-05-24
US13/474,076 2012-05-17
US13/474,076 US8771807B2 (en) 2011-05-24 2012-05-17 Organoaminosilane precursors and methods for making and using same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR20140160689A Division KR20140142209A (ko) 2011-05-24 2014-11-18 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20120132403A true KR20120132403A (ko) 2012-12-05
KR101470876B1 KR101470876B1 (ko) 2014-12-09

Family

ID=46197051

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020120055643A KR101470876B1 (ko) 2011-05-24 2012-05-24 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
KR20140160689A KR20140142209A (ko) 2011-05-24 2014-11-18 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
KR1020200052852A KR20200051543A (ko) 2011-05-24 2020-04-29 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR20140160689A KR20140142209A (ko) 2011-05-24 2014-11-18 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
KR1020200052852A KR20200051543A (ko) 2011-05-24 2020-04-29 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법

Country Status (6)

Country Link
US (2) US8771807B2 (ko)
EP (1) EP2535343B1 (ko)
JP (3) JP6404540B2 (ko)
KR (3) KR101470876B1 (ko)
CN (1) CN102827198B (ko)
TW (1) TWI541248B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016142226A1 (en) * 2015-03-12 2016-09-15 Basf Se Process for the generation of thin inorganic films
KR20160132804A (ko) * 2013-10-03 2016-11-21 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 질화규소 막을 증착시키는 방법
KR20180034710A (ko) * 2015-03-30 2018-04-04 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Si-포함 필름 형성 조성물 및 이의 사용 방법
WO2020101437A1 (ko) * 2018-11-15 2020-05-22 주식회사 유피케미칼 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6322305B2 (ja) * 2013-07-31 2018-05-09 東京エレクトロン株式会社 薄膜の成膜方法
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6272033B2 (ja) * 2014-01-06 2018-01-31 株式会社Adeka 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10030038B2 (en) * 2014-05-30 2018-07-24 Dow Silicones Corporation Monoaminosilane compounds
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
EP4108803A1 (en) * 2015-07-31 2022-12-28 Versum Materials US, LLC Compositions and methods for depositing silicon nitride films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP7010825B2 (ja) * 2015-12-18 2022-01-26 ナタ セミコンダクター マテリアルズ カンパニー リミテッド オルガノアミノシランの製造方法、そのオルガノアミノシランからのシリルアミンの製造方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10559459B2 (en) * 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
TW201822259A (zh) * 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108234QA (en) * 2019-02-01 2021-08-30 Versum Materials Us Llc Compositions and methods using same for silicon containing films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219349A1 (en) * 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113227874A (zh) * 2019-05-25 2021-08-06 国立大学法人东北大学 扫描镜及扫描镜的制造方法
US20220066198A1 (en) * 2019-05-25 2022-03-03 Tohoku University Scanning mirror and manufacturing method for scanning mirror
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
WO2021202315A1 (en) * 2020-03-31 2021-10-07 Entegris, Inc. Precursors and methods for preparing silicon-containing films
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
KR20220163999A (ko) 2020-04-02 2022-12-12 버슘머트리얼즈 유에스, 엘엘씨 실리콘 함유 필름의 증착을 위한 유기아미노-작용기화된 사이클릭 올리고실록산
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112279665B (zh) * 2020-11-06 2022-06-07 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法和应用
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN112420137B (zh) * 2020-11-18 2024-02-06 齐鲁工业大学 一种球体包覆模型的构建方法以及界面吸附评价方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법
WO2024112598A1 (en) * 2022-11-22 2024-05-30 Entegris, Inc. Disilylamine precursors and related methods

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
SE396216B (sv) * 1973-03-15 1977-09-12 Thomae Gmbh Dr K Forfarande for framstellning av n-(2-amino-3,5-dibrombensyl)-n-metylcyklohexylamin
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
GB8825864D0 (en) * 1988-11-04 1988-12-07 Tioxide Group Plc Curable compositions
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JPH08227890A (ja) 1995-02-21 1996-09-03 Sony Corp 半導体基体の保護絶縁膜形成方法
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP2003522826A (ja) 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
AU2001278749A1 (en) 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
TW473915B (en) 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6559074B1 (en) 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
CN1643674A (zh) 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
JP2005536055A (ja) 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006042214A (ja) 2004-07-29 2006-02-09 Nec Electronics Corp 半導体装置およびicタグ
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US20060062913A1 (en) 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US20070292974A1 (en) 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP4589984B2 (ja) * 2007-06-08 2010-12-01 東京エレクトロン株式会社 微細パターンの形成方法
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
WO2009095898A1 (en) * 2008-02-01 2009-08-06 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New metal precursors containing beta-diketiminato ligands
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
SG177399A1 (en) 2009-07-06 2012-02-28 Linde Ag Solution based precursors
KR20120060843A (ko) 2009-08-14 2012-06-12 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 실란 블렌드
US8535760B2 (en) * 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5623296B2 (ja) * 2010-01-15 2014-11-12 信越化学工業株式会社 トリシリルアミンの製造方法
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
KR20160132804A (ko) * 2013-10-03 2016-11-21 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 질화규소 막을 증착시키는 방법
KR20170018872A (ko) * 2013-10-03 2017-02-20 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 질화규소 막을 증착시키는 방법
WO2016142226A1 (en) * 2015-03-12 2016-09-15 Basf Se Process for the generation of thin inorganic films
KR20180034710A (ko) * 2015-03-30 2018-04-04 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Si-포함 필름 형성 조성물 및 이의 사용 방법
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
WO2020101437A1 (ko) * 2018-11-15 2020-05-22 주식회사 유피케미칼 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
US11905305B2 (en) 2018-11-15 2024-02-20 Up Chemical Co., Ltd. Silicon precursor compound, preparation method therefor, and silicon-containing film formation method using same

Also Published As

Publication number Publication date
JP2012248844A (ja) 2012-12-13
EP2535343A3 (en) 2013-03-27
EP2535343B1 (en) 2016-03-30
JP6498864B2 (ja) 2019-04-10
CN102827198A (zh) 2012-12-19
KR20200051543A (ko) 2020-05-13
KR20140142209A (ko) 2014-12-11
US8771807B2 (en) 2014-07-08
TWI541248B (zh) 2016-07-11
JP2017171664A (ja) 2017-09-28
JP2014074236A (ja) 2014-04-24
JP6404540B2 (ja) 2018-10-10
CN102827198B (zh) 2016-02-17
KR101470876B1 (ko) 2014-12-09
US20140272194A1 (en) 2014-09-18
US9005719B2 (en) 2015-04-14
EP2535343A2 (en) 2012-12-19
US20130129940A1 (en) 2013-05-23
TW201247690A (en) 2012-12-01

Similar Documents

Publication Publication Date Title
KR20200051543A (ko) 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
KR102067473B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
KR102044666B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR101275143B1 (ko) 유기아미노실란 전구체 및 이를 포함하는 막을 증착하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 6