CN102827198A - 有机氨基硅烷前体及其制备和使用方法 - Google Patents

有机氨基硅烷前体及其制备和使用方法 Download PDF

Info

Publication number
CN102827198A
CN102827198A CN2012102888367A CN201210288836A CN102827198A CN 102827198 A CN102827198 A CN 102827198A CN 2012102888367 A CN2012102888367 A CN 2012102888367A CN 201210288836 A CN201210288836 A CN 201210288836A CN 102827198 A CN102827198 A CN 102827198A
Authority
CN
China
Prior art keywords
group
branched
straight
alkyl
disilazane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102888367A
Other languages
English (en)
Other versions
CN102827198B (zh
Inventor
萧满超
雷新建
韩冰
M·L·奥尼尔
R·M·珀尔斯泰恩
R·霍
H·钱德拉
A·德雷克凯-科瓦克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN102827198A publication Critical patent/CN102827198A/zh
Application granted granted Critical
Publication of CN102827198B publication Critical patent/CN102827198B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文描述了可用于沉积包含硅的含硅薄膜的有机氨基硅烷前体和制备这些前体的方法。本文还公开了制备含硅薄膜或者使用本文所述的有机氨基硅烷前体制备含硅薄膜的方法。本文还公开了可以例如,用于向反应器中传输有机氨基硅烷前体以沉积含硅薄膜的包含有机氨基硅烷前体或其组合物的容器。

Description

有机氨基硅烷前体及其制备和使用方法
相关申请的交叉引用
本申请根据35U.S.C.119要求下列申请的优先权:2011年5月24日申请的U.S.临时申请号61/489,486,其所披露的内容整体以引用的方式并入本文。
发明背景
本文描述了可以用于含硅薄膜的沉积的前体,特别是有机氨基硅烷前体,所述含硅薄膜包括但不限于,含硅薄膜诸如无定形硅、晶体硅、氮化硅、氧化硅、碳氮化硅和氧氮化硅的薄膜。在再另一方面,本文描述了用于含硅薄膜沉积的有机氨基硅烷前体在制造集成电路器件中的用途。在这些或其它的方面,有机氨基硅烷前体可以用于各种沉积工艺,包括但不限于原子层沉积(“ALD”)、化学气相沉积(“CVD”)、等离子体增强化学气相沉积(“PECVD”)、低压化学气相沉积(“LPCVD”)和常压化学气相沉积。
几类化合物可用作含硅薄膜(例如,但不限于,氧化硅或氮化硅薄膜)的前体。适合用作前体的这些化合物的实例包括硅烷类、氯代硅烷类、聚硅氮烷类、氨基硅烷类和叠氮基硅烷类。惰性载气或稀释剂(例如,但不限于,氦、氢、氮等)也用于输送前体到反应室中。
低压化学气相沉积(LPCVD)工艺是半导体工业用于沉积含硅薄膜所用的较广泛接受的方法之一。使用氨的低压化学气相沉积(LPCVD)可能需要高于750℃的沉积温度以获得合理的生长速率和均匀度。更高的沉积温度通常用于提供更好的薄膜性能。更常见的用于生长氮化硅或其它含硅薄膜的工业方法之一是在高于750℃温度下的热壁反应器中使用前体硅烷、二氯硅烷和/或氨的低压化学气相沉积。但是,使用这种方法存在几种缺陷。例如,某些前体(例如硅烷)是可自燃的。这可能产生操作和使用中的问题。而且,由硅烷和二氯硅烷沉积的薄膜可能包含某些杂质。例如,使用二氯硅烷沉积的薄膜可能包含某些杂质如氯和氯化铵,它们是在沉积过程中作为副产物形成的。使用硅烷沉积的薄膜可能包含氢。
日本公开案号6-132284揭示了一种通过化学气相沉积形成氮化硅薄膜的方法,其使用的起始气体是由通式(R1R2N)nSiH4-n(其中基团R1和R2是H-、CH3-、C2H5-、C3H7-和C4H9-中任一种,其中至少一个不是H-,并且n是1-4的整数)表示的有机硅烷化合物。权利要求3列举了“有机硅烷化合物是三(二甲基氨基)硅烷((CH3)2N)3SiH、双(二甲基氨基)硅烷((CH3)2N)2SiH2、二甲基氨基硅烷((CH3)2N)SiH3、三(二乙基氨基)硅烷((C2H5)2N)3SiH、双(二乙基氨基)硅烷((C2H5)2N)2SiH2、二乙基氨基硅烷((C2H5)2N)SiH3、三(二丙基氨基)硅烷((C3H7)2N)3SiH、双(二丙基氨基)硅烷((C3H7)2N)2SiH2、二丙基氨基硅烷((C3H7)2N)SiH3、三(二异丁基氨基)硅烷((C4H9)2N)3SiH、双(二异丁基氨基)硅烷((C4H9)2N)2SiH2和二异丁基氨基硅烷((C4H9)2N)SiH3。”
美国专利案号6391803揭示了形成含硅薄膜层例如Si3N4和SiO2薄膜的原子层沉积方法,其使用优选为Si[N(CH3)2]4、SiH[N(CH3)2]3、SiH2[N(CH3)2]2或者SiH3[N(CH3)2]的第一反应物和优选为活化的NH3的第二反应物。
日本公开案号6-132276描述了通过CVD形成氧化硅薄膜的方法,其使用氧和由通式(R1R2N)nSiH4-n(其中R1和R2是H-、CH3-、C2H5-、C3H7-和C4H9-,其中至少一个不是H-,并且n是1-4的整数)表示的有机硅烷化合物。权利要求3列举了“有机硅烷化合物是三(二甲基氨基)硅烷((CH3)2N)3SiH、双(二甲基氨基)硅烷((CH3)2N)2SiH2、二甲基氨基硅烷((CH3)2N)SiH3、三(二乙基氨基)硅烷((C2H5)2N)3SiH、双(二乙基氨基)硅烷((C2H5)2N)2SiH2、二乙基氨基硅烷((C2H5)2N)SiH3、三(二丙基氨基)硅烷((C3H7)2N)3SiH、双(二丙基氨基)硅烷((C3H7)2N)2SiH2、二丙基氨基硅烷((C3H7)2N)SiH3、三(二异丁基氨基)硅烷((C4H9)2N)3SiH、双(二异丁基氨基)硅烷((C4H9)2N)2SiH2和二异丁基氨基硅烷((C4H9)2N)SiH3。”。
申请人的专利,US专利案号7875556;7875312和7932413描述在化学气相沉积或者原子层沉积方法中用于介电薄膜沉积(诸如,例如氧化硅和碳氮化硅薄膜)的氨基硅烷类。
申请人的未决申请EP公开号2392691(其涉及U.S.系列申请案号13/114287)揭示了用于含硅薄膜沉积的前体。
用于沉积氮化硅薄膜的前体(如BTBAS和氯代硅烷类)通常在高于550℃的温度下沉积薄膜。半导体器件小型化的趋势和低的热预算需要更低的处理温度和更高的沉积速率。应当降低含硅薄膜进行沉积的温度以防止晶格中的离子扩散,特别是对于包含金属化层的那些基材和在许多III-V族和II-VI族的器件上。因此,本领域中仍然需要提供对于普通工艺和处理要求仍足够稳定的具有充分的化学反应性以允许通过CVD、ALD或其它工艺在550℃或更低的温度下或甚至在室温下沉积的用于沉积含硅薄膜(例如氧化硅或氮化硅薄膜)的新的和更经济的前体。
发明概述
本文描述了有机氨基硅烷前体和将其用于在基材的至少一部分上形成包含硅的薄膜(本文中称为含硅薄膜)的方法,含硅薄膜诸如但不限于无定形硅、晶体硅、半晶体硅、化学计量的或非化学计量的氧化硅、化学计量的或非化学计量的氮化硅、氮氧化硅、碳化硅、碳氮化硅及其组合。本文还公开了在待加工的物体(例如,举例来说,半导体晶片)上形成含硅薄膜或涂层的方法。在本文所述方法的一个实施方式中,包含硅和氧的层在基材上生成氧化硅层的条件下,在沉积室中使用有机氨基硅烷前体和氧化剂而沉积到基材上。在本文所述方法的另一个实施方式中,是在基材上生成氮化硅层的条件下,包含硅和氮的层在沉积室中使用有机氨基硅烷前体和含氮前体而沉积到基材上。在进一步的实施方式中,本文所述的有机氨基硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。
在本文所述的方法中,使用至少一种具有式A、B和C或其混合物的有机氨基硅烷作为至少一种含硅前体:
Figure BSA00000763713200041
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中在式A中R和R1也可结合以形成环状基团。在式A的特定实施方式中,R和R1也可结合以形成环状或者烷基取代的环状基团。在式C的特定实施方式中,R、R2和R1的任何一个或多个可以结合以形成环状基团。在式A或C的其他实施方式中,R和R1或者R、R2和R1的任何一个各自地不结合形成环状基团。在一个特别的实施方式中,有机氨基硅烷前体具有其中R是正丙基并且R1是异丙基的式A。在这些或其他式A的实施方式中,R和R1是不同的取代基并且有机氨基硅烷是不对称分子。在式A的另一个实施方式中,R和R1是相同的取代基并且有机氨基硅烷是对称分子。在式A的优选实施方式中,R是C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在一个方面,本文所述的有机氨基硅烷包含被用作至少一种含硅前体的至少一种具有式A、B和C的前体:
Figure BSA00000763713200051
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团。在式A的特定实施方式中,R和R1也可结合以形成环状或者烷基取代的环状基团。在式C的特定实施方式中,R、R2和R1中的任何一个或多个可以结合以形成环状基团。在式A或C的其他实施方式中,R和R1或者R、R2和R1中任何一个各自地不结合形成环状基团。在一个特别的实施方式中,有机氨基硅烷前体具有其中R是正丙基和R1是异丙基的式A。在这些或其他式A的实施方式中,R和R1是不同的取代基并且有机氨基硅烷是不对称分子。在式A的另一个实施方式中,R和R1是相同的取代基并且有机氨基硅烷是对称分子。
在另一个方面,还提供了在基材的至少一个表面形成含硅薄膜的方法,其包括:
在反应室中提供该基材的至少一个表面;和
通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺,在所述的至少一个表面上由至少一种具有式A、B和C或其混合物的有机氨基硅烷作为至少一种含硅前体形成含硅薄膜:
Figure BSA00000763713200061
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,且其中式A中的R和R1也可结合以形成环状基团。在式A的特定实施方式中,R和R1可结合以形成环状或者烷基取代的环状基团。在一个特定的实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在另一个方面,提供了通过原子层沉积工艺形成氧化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供基材;
b.向反应器中引入至少一种选自至少一种具有式A、B和C或其混合物的有机氨基硅烷的硅前体作为至少一种含硅前体:
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;
c.用吹扫气体吹扫反应器;
d.向反应器中引入氧源;
e.用吹扫气体吹扫反应器;和
f.重复步骤b至e直到获得期望的薄膜厚度。在本文所述方法的一个特定的实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在进一步的方面中,提供了使用CVD工艺在基材的至少一个表面上形成氧化硅薄膜的方法,包括:
a.在反应器中提供基材;
b.向反应器中引入至少一种具有式A、B和C或其混合物的有机氨基硅烷:
Figure BSA00000763713200071
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;和
c.提供氧源以在该至少一个表面上沉积氧化硅薄膜。在本文所述的方法的一个特定实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在另一个方面,提供了通过原子层沉积工艺形成氮化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供基材;
b.向反应器中引入至少一种具有式A、B和C或其混合物的有机氨基硅烷作为至少一种含硅前体:
Figure BSA00000763713200081
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;和
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氮源;
e.用吹扫气体吹扫反应器;和
f.重复步骤b至e直到获得期望的氮化硅薄膜厚度。
在本文所述方法的一个特定的实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基和R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在进一步的方面中,提供了使用CVD工艺在基材的至少一个表面上形成氮化硅薄膜的方法,包括:
a.在反应器中提供基材;
b.向反应器中引入至少一种具有式A、B和C或其混合物的有机氨基硅烷用作至少一种含硅前体:
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立的选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;
c.提供含氮源,其中该至少一种有机氨基硅烷前体与含氮源反应以在该至少一个表面上沉积包含硅和氮的薄膜。在本文所述的方法的一个特定实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基和R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在另一个方面,本文描述了用于沉积含硅薄膜的容器,其包含一种或多种具有式A、B和C或其混合物的有机氨基硅烷前体。在一个特别的实施方式中,所述容器包含至少一个配备有适当的阀和配件以允许输送一种或多种前体到用于CVD或ALD工艺的反应器中的可加压容器(优选由不锈钢制成)。
在再另一方面,还提供了用于沉积含硅薄膜的前体组合物,其包含:
具有式A、B和C或其混合物的有机氨基硅烷:
Figure BSA00000763713200101
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中在式A中的R和R1也可结合以形成环状基团;和
选自醚、叔胺、腈、烷基烃、芳香烃、叔氨基醚或其混合物的溶剂。在本文所述前体组合物的一个特定实施方式中,有机氨基硅烷包含式A前体,其中R选自C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
附图简述
图1提供了本文所述的和在表III中第17号和实施例1中所述的具有式A的N-异丙基环己基氨基硅烷的质谱(MS)谱图。
图2提供了本文所述的和表III中第7号所述的具有式A的二环己基氨基硅烷的质谱(MS)谱图。
图3提供了本文所述的和实施例2中所述的具有式B的N-2-吡啶基二硅氮烷的质谱(MS)谱图。
图4提供了本文所述的和实施例3中所述的具有式C的N,N’-二甲硅烷基-反式-2,5-二甲基哌嗪的质谱(MS)谱图。
图5提供了本文所述的和表III中第5号所述的具有式A的N-甲基环己基氨基硅烷的质谱(MS)谱图。
图6提供了本文所述的和表III中第6号所述的具有式A的N-乙基环己基氨基硅烷的质谱(MS)谱图。
图7提供了实施例5所述的使用N-甲基环己基氨基硅烷前体沉积的氧化硅薄膜随前体脉冲时间变化的沉积速率。
发明详述
有机氨基硅烷、硅烷或含硅前体用作形成化学计量的和非化学计量的含硅薄膜(例如,但不限于,氧化硅、碳氧化硅、氮化硅、氮氧化硅和碳氮氧化硅)的前体。这些前体也可以用作例如含金属薄膜的掺杂剂。有机氨基硅烷前体通常是高纯度的挥发性液体前体化学物质,其作为气体蒸发和输送到沉积室或反应器以通过用于半导体器件的CVD或ALD工艺沉积含硅薄膜。用于沉积的前体材料的选择取决于希望得到的介电材料或薄膜。例如,前体材料可以基于其化学元素的含量、其化学元素的化学计量比和/或在CVD下形成的最终含硅薄膜或涂层而进行选择。前体材料也可以基于各种其它特征如成本、相对低的毒性、加工性能、在室温下保持液相的能力、挥发性、分子量和/或其它因素而进行选择。在某些实施方式中,本文所述的前体可以通过多种方式输送到反应器系统,优选使用配备有适当的阀和配件的可加压不锈钢容器,以允许将液相前体输送至沉积室或反应器。
本文所述的有机氨基硅烷前体表现出反应性和稳定性的平衡,这使得它们理想地适合用作CVD或ALD前体。关于反应性,某些前体可能具有过高的沸点而不能被蒸发和输送到反应器以在基材上沉积为薄膜。具有较高相对沸点的前体要求输送容器和管线被加热至前体的沸点或更高的温度以防止在容器、管线或两者中形成冷凝或微粒。关于稳定性,其它有机硅烷前体可能在降解时形成硅烷(SiH4)。硅烷在室温下是可自燃的或它可以自发燃烧,这产生安全性和操作的问题。另外,硅烷和其它副产物的形成降低了前体的纯度水平,而对于可靠的半导体制造来说,小至1-2%的化学纯度的变化都可能被认为是不可接受的。在某些实施方式中,具有本文所述式A、B或C的有机氨基硅烷前体在储存6个月或更长或者一年或更长的时间后包含少于2重量%或少于1重量%或少于0.5重量%的副产物(如相应的双硅烷副产物),这是稳定储存的指示。除了前述的优势外,在例如使用ALD或PEALD沉积方法沉积氧化硅或氮化硅薄膜的某些实施方式中,本文所述的有机氨基硅烷前体可能能够在相对低的沉积温度(例如,500℃或更低,或者400℃或更低,300℃或更低,200℃或更低,100℃或更低,或者50℃或更低)下沉积高密度材料。
在一个方面,提供了由下面式A、B或C表示的特定前体或有机氨基硅烷:
Figure BSA00000763713200121
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团。在式A的特定实施方式中,R和R1也可结合以形成环状或者烷基取代的环状基团。在一个特定的实施方式中,有机氨基硅烷前体是具有式A的化合物,其中R是C5-C6环烷基并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
在式A的有机氨基硅烷的特定实施方式中,R1和R2可以被连接在一起以形成环。在这些或其他实施方式中,所述环包含杂环。所述环,或者可选择地,杂环,可以是饱和的或不饱和的。
在式A的有机氨基硅烷的替代实施方式中,R1和R2没有连接在一起形成环。
在式C的特定实施方式中,R、R2和R1中的任何一个或多个可以结合以形成环状基团。在这些实施方式中,所述环状基团可以是碳环或杂环。该环状基团可以是饱和的,或者可选择地,不饱和的。
在式C的其他实施方式中,R和R1或者R、R2和R1中任一个各自地不结合形成环状基团。
在式A、B和C以及整个说明书中,术语“烷基”表示具有1-20或1-10或3-10或1-6个碳原子的直链或支链官能团。示例性的直链烷基包括,但不限于,甲基、乙基、丙基、丁基、戊基和己基。示例性的支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有一个或多个与其连接的官能团。所述烷基可以是饱和的或者可选择地不饱和的。
在式A、B和C以及整个说明书中,术语“环烷基”表示具有4-20或5-10个碳原子的环状基团。示例性的环烷基包括,但不限于,环丁基、环戊基、环己基和环辛基。在某些实施方式中,环烷基可以具有一个或多个C1-C10直链、支链的取代基,或者含有氧或者氮原子的取代基。在这个或其他实施方式中,环烷基可以具有一个或多个直链或支链烷基或烷氧基作为取代基,诸如,例如,甲基环己基或者甲氧基环己基。
在式A、B和C以及整个说明书中,术语“芳基”表示具有5-10个碳原子或6-10个碳原子的芳香族环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯代苄基、甲苯基和邻二甲苯基。
在式A、B和C以及整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2-20或2-10或2-6个碳原子的基团。
在式A、B和C以及整个说明书中,术语“烷氧基”表示连接至氧原子(例如,R-O)且可以具有1-20或1-12或1-6个碳原子的烷基。示例性的烷氧基包括,但不限于,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)和异丙氧基(-OCHMe2)。
在式A、B和C以及整个说明书中,本文使用的术语“不饱和的”指具有一个或多个碳双键或者三键的官能团、取代基、环或者桥。不饱和环的实例可以是,但不限于,芳香环如苯环。术语“饱和的”是指不具有一个或多个双键或者三键的官能团、取代基、环或者桥。
在式A、B和C以及整个说明书中,术语“烷基氨基”表示具有一个或两个连接至氮原子的烷基并具有1-20或2-12或2-6个碳原子的基团。烷基氨基的实例可以是但不限于哌啶基团。
在某些实施方式中,式A、B或C中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷氨基烷基、芳基和/或芳香基团中的一个或多个可以被取代或者具有替代例如氢原子的一个或多个原子或原子团。示例性的取代基包括,但不限于,氧、硫、卤素原子(例如,F、Cl、I或Br)、氮和磷。在其它实施方式中,式A、B和C中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷氨基烷基、芳香基团和/或芳基中的一个或多个可以是未取代的。
在特定实施方式中,所述具有式A、B或C的至少一种有机氨基硅烷前体具有一个或多个包含氧原子的取代基。在这些实施方式中,沉积工艺期间对于氧源的需求可以被避免。在其他实施方式中,所述具有式A、B或C的具有一个或多个包含氧原子的取代基的至少一种有机氨基硅烷前体也使用氧源。
本文所述的一类硅化合物是有机氨基硅烷前体并且它由下面的式A表示:
Figure BSA00000763713200141
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且其中式A中的R和R1也可结合以形成环状基团。在另一个具有式A的有机氨基硅烷前体的实施方式中,R是具有或没有取代基的芳基且R1是直链或支链的烷基。在具有式A的化合物的一个特定实施方式中,R是C5-C6环烷基和R1选自由直链或支链C1-C3烷基基团或C5-C6环烷基组成的组。
在式A的再进一步的实施方式中,R和R1结合以形成5或6元杂环的,衍生自包括但不限于下面的一种或多种物质的取代的或未取代的芳香环:吡咯、烷基取代的吡咯、咪唑、烷基取代的咪唑、吡唑或烷基取代的吡唑。这些实施方式的实例包括但不限于:N-甲硅烷基吡咯(表III,第24号),N-甲硅烷基-2,5-二甲基吡咯(表III,第19号)和1-甲硅烷基-7-氮杂吲哚(表III,第27号)。
在式A的再进一步的实施方式中,R和R1结合以形成5或6元杂环的,衍生自包括但不限于下面的一种或多种物质的取代的或未取代的脂族环:吡咯烷、哌啶、吗啉、哌嗪或它们的烷基取代的衍生物。这些实施方式的实例包括但不限于:2,6-二甲基吗啉代硅烷(表III,第10号)、2-甲基吡咯烷基硅烷(表III,第12号)和N-甲硅烷基十氢喹啉(表III,第16号)。
在式A的某些实施方式中,R和R1是相同的取代基,并且有机氨基硅烷是对称分子,条件是R和R1二者均不是下面基团的一种:乙基、异丙基、叔丁基、异丁基、仲丁基、正丁基、叔戊基和仲戊基。这样的实施方式的实例包括但不限于二环己基氨基硅烷(表III,第7号)。
在式A的其他实施方式中,R和R1是不同的取代基,并且有机氨基硅烷是不对称分子。这些实施方式的实例包括但不限于:N-丙基-异丙基氨基硅烷(表III,第4号)、N-甲基环己基氨基硅烷(表III,第5号)、N-乙基环己基氨基硅烷(表III,第5号)、烯丙基苯基氨基硅烷(表III,第15号)、N-异丙基环己基氨基硅烷(表III,第17号)、烯丙基环戊基胺基硅烷(表III,第18号)、苯基环己基氨基硅烷(表III,第22号)和2-(N-甲硅烷基甲基氨基)吡啶(表III,第25号)。
在制备氧化硅层中适用的第二类有机氨基硅烷前体是具有单个氮原子上侧接的两个甲硅烷基的由式B表示的有机氨基硅烷:
Figure BSA00000763713200161
在式B中,R选自C6-C10取代或者未取代的芳基,条件是R不是苯基;C3-C10取代或者未取代的环烷基;直链或支链的取代或者未取代的C2-C6烯基;C1-C10烷氧基烷基;或者C1-C10烷基氨基或二烷基氨基。R还可以是C4-C10直链或支链的、取代或未取代的烷基,条件是R不是未取代的叔丁基、叔戊基或环己基。
在式B的特定实施方式中,R是取代的C5-C10芳基,其中该芳基被一个或多个下述基团取代:烷基、烯基、氨基或烷氧基。这些实施方式的实例包括但不限于:N-(4-甲氧基苯基)二硅氮烷(表IV第11号)、N-(3-甲氧基苯基)二硅氮烷(表IV第12号)、N-(2-甲氧基苯基)二硅氮烷(表IV第13号)、N-(4-氯苯基)二硅氮烷(表IV第14号)、N-(2-氯苯基)二硅氮烷(表IV第15号)、N-(2-乙基苯基)二硅氮烷(表IV第21号)、N-(2,6-二乙基苯基)二硅氮烷(表IV第22号)、N-(2-丙基苯基)二硅氮烷(表IV第23号)、N-(4-叔丁基苯基)二硅氮烷(表IV第24号)、N-(4-异丙基苯基)二硅氮烷(表IV第25号)、N-(2-异丙基苯基)二硅氮烷(表IV第26号)、N-(3-乙基苯基)二硅氮烷(表IV第30号)、N-(4-仲丁基苯基)二硅氮烷(表IV第31号)、N-(4-乙烯基苯基)二硅氮烷(表IV第32号)、N-(3-甲基苯基)二硅氮烷(表IV第33号)、N-(4-甲基苯基)二硅氮烷(表IV第34号)、N-(2,4,6-三甲基苯基)二硅氮烷(表IV第35号)和N-(2,6-二异丙基苯基)二硅氮烷(表IV第36号)。
在式B的特定实施方式中,R是C5-C10杂环基,其中该杂环基在环中包含N或O原子,并且所述基团可以被一个或多个下面的基团取代:烷基、烯基、氨基或烷氧基。这些实施方式的实例包括但不限于:1-N-(2-吡啶基)二硅氮烷(表IV第1号)、N,N-二甲硅烷基-2-氨基嘧啶(表IV第2号)、N-(4-甲基-2-吡啶基)二硅氮烷(表IV第16号)、N-(6-甲基-2-吡啶基)二硅氮烷(表IV第17号)、N-(3-甲基-2-吡啶基)二硅氮烷(表IV第18号)、N-(5-甲基-2-吡啶基)二硅氮烷(表IV第19号)和N-[2-(4-甲基嘧啶基)氨基]二硅氮烷(表IV第37号)。
在式B的特定实施方式中,R是取代的C2-C10烷基,其中该烷基被一个或多个下述基团取代:杂原子(例如,N、Cl、O)、烷基、芳基、烷基、烷基氨基或烷氧基。这些实施方式的实例包括但不限于:N-叔戊基二硅氮烷(表IV第6号)、N-(2-二甲基氨基-1-甲基乙基)二硅氮烷(表IV第7号)、N-(2-二甲基氨基乙基)二硅氮烷(表IV第8号)、N-(1-环己基乙基)二硅氮烷(表IV第27号)、N,N-二甲硅烷基枯基胺(表IV第29号)、N-[3,3二甲基丁基-2]二硅氮烷(表IV第39号)、N,N-二甲硅烷基-2-吡啶甲基胺(表IV第40号)、N,N-二甲硅烷基-2-(2-吡啶基)乙基胺(表IV第41号)和N,N-二甲硅烷基-1-(4-甲基苯基)乙基胺(表IV第42号)。
第三类有机氨基硅烷化合物由式C表示:
Figure BSA00000763713200171
在式C中,R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和碳环或杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2。在特定实施方式中,R和R1是相同的。在替代的实施方式中,R和R1是不同的。R2基团桥连氮原子。在R2基团是单键的实施方式中,它可以是直接连接到化合物中N原子上的N原子。在特定实施方式中,R2基团只是氮原子之间的单键。在替代的实施方式中,R2基团它可以是桥接基团,诸如SiR2、SiH2、链、环或者C1-C10直链或C3-C10支链烷基。在式C的进一步的实施方式中,R和R1可连接在一起。在后一实施方式中,式C中R和R1可经由形成单或者双碳-碳键或通过氧或氮原子的连接结合成杂环基。
非限制于理论,据认为有机氨基硅烷前体如具有本文所述的式A、B和C并具有一个或多个-SiH3基团的那些有机氨基硅烷由于其在羟基化的半导体表面上反应的更低的活化能障(activation barrier)(因此更低的沉积温度)、沉积后更低的杂质和更高的薄膜密度而优于其它包含-SiH2或-SiH基团的有机氨基硅烷前体。但是,某些具有-SiH3基团的有机氨基硅烷前体如二甲基氨基硅烷(DMAS)或二乙基氨基硅烷(DEAS)不是热稳定的,因为它发生歧化反应以分别形成可自燃的硅烷和二(二甲基氨基)硅烷或二(二乙基氨基)硅烷。此外,据认为使用这些特定的有机氨基硅烷前体沉积的薄膜可以在氮化硅或碳氮化硅网络中包含适当水平和类型的碳,这可以使得能够显著降低湿蚀刻速率而仍保持特定的介电常数值。
在特定实施方式中,具有式A、B或C的有机氨基硅烷可以通过使单卤代硅烷(XSiH3,其中X=Cl、Br或I)或低分子量二烷基氨基硅烷诸如二异丙基氨基硅烷与一种或多种下面在表I(式A)和表II(式B和C)的胺在有机溶剂或溶剂混合物中反应而制备。
表1.用于合成式A前体的胺类
Figure BSA00000763713200181
Figure BSA00000763713200191
表2.用于合成式B或C前体的胺类
Figure BSA00000763713200201
Figure BSA00000763713200211
下面方程式(1)-(6)提供了可以用于制备具有本文所述的式A、B或C的有机氨基硅烷的反应方案或者合成路线的实例。在方程式(1)-(6)中,取代基R、R1和R2与本文对于式A、B或C所述的那些相同;M是Li、Na或K;和X是Cl、Br或I;方程式(5)中的R’选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基。此外,也可以在方程式(2)中使用R’3N以形成R’3N-HCl而不是RR1N-HCl以便减少RR1NH的用量。方程式(1)-(6)的反应方案可以是在具有(例如其存在下)或不具有有机溶剂的条件下进行。在其中使用有机溶剂的实施方式中,合适的有机溶剂的实例包括但不限于:烃类如己烷、辛烷、甲苯和四氢呋喃(THF)。在这些或其他实施方式中,如果包括溶剂,反应温度是在约-70℃到所使用的溶剂的沸点的范围内。在移除所有副产物以及溶剂(如果存在)后,所得的有机氨基硅烷可以经真空蒸馏纯化。方程式(1)-(5)是用于制备具有式A或B的前体的不同的实施方式。方程式(5)是方程式(2)的改进以便其更适于式B化合物。方程式(6)代表式C的合成方法。
Figure BSA00000763713200221
用于形成包含硅的含硅薄膜或涂层的方法是沉积工艺。用于本文公开方法的合适沉积工艺的例子包括,但不限于,循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助的化学气相沉积(“PPECVD”)、低温化学气相沉积、化学物质辅助的气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积和低能量CVD(LECVD)。在某些实施方式中,含金属的薄膜通过原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺沉积。如本文所用,术语“化学气相沉积工艺”指其中基材暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在基材表面反应和/或在基材表面上分解以产生预期的沉积。如本文所用,术语“原子层沉积工艺”指将物质薄膜沉积到具有变化的组成的基材上的自限式(self-limiting)(例如,在各反应循环中沉积的薄膜材料的量是恒定的)顺序表面化学作用。虽然本文中使用的前体、试剂和源有时可以描述为“气态的”,但应理解该前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在具有或不具有惰性气体的条件下转运到反应器中。在一些情况中,蒸发的前体可以经过等离子体发生器。在一个实施方式中,使用ALD工艺沉积含硅薄膜。在另一实施方式中,使用CCVD工艺沉积含硅薄膜。在进一步的实施方式中,使用热CVD工艺沉积含硅薄膜。本文使用的术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或过程中分隔前体的ALD或CCVD避免了前体的预反应。在这一方面,沉积技术(如ALD或CCVD工艺)用于沉积含硅薄膜。在一个实施方式中,通过将基材表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其它前体或试剂而经由ALD工艺沉积薄膜。薄膜生长通过表面反应的自限式控制、各前体或试剂的脉冲长度及沉积温度进行。但是,一旦基材表面饱和,薄膜生长停止。
如前所述,在某些实施方式中,例如,对于使用ALD或PEALD沉积方法沉积氧化硅或氮化硅薄膜,具有本文所述的式A、B或C的有机氨基硅烷前体可以能够在相对较低的沉积温度下沉积薄膜,例如,500℃或更低,或者400℃或更低,300℃或更低,200℃或更低,100℃或更低,或者50℃或更低或室温。在这些或其他实施方式中,基材(沉积)温度范围,沸点之间的差异范围具有下面任意一个或多个端点:25,50,100,200,300,400或500℃。这些范围的实例是(但不限于)25-50℃,100-300℃或者100-500℃。
在某些实施方式中,本文所述的方法进一步包括除了具有上述式A、B或C的有机氨基硅烷前体之外的一种或多种其它含硅前体。其它含硅前体的例子包括,但不限于,有机硅化合物如硅氧烷类(例如,六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMSO))、有机硅烷类(例如,甲基硅烷、二甲基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷、1,4-二硅杂丁烷、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷和这些化合物的氟化衍生物)、含苯基的有机硅化合物(例如,二甲基苯基硅烷和二苯基甲基硅烷)、含氧有机硅化合物,例如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环五硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环五硅氧烷、六甲氧基二硅氧烷和这些化合物的氟化衍生物。
取决于沉积方法,在某些实施方式中,该一种或多种含硅前体可以以预定的摩尔量或大约0.1-大约1000微摩尔引入反应器中。在这一实施方式或其它实施方式中,含硅和/或有机氨基硅烷前体可以以预定的时间长度引入反应器中。在某些实施方式中,该时间长度为大约0.001-大约500秒。
在某些实施方式中,使用本文所述的方法沉积的含硅薄膜使用氧源、含氧的试剂或前体在氧的存在下形成。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于用于沉积方法的其它前体中。合适的氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、水等离子体、氧气(O2)、过氧化物(O3)、氧等离子体、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,氧源包含以大约1-大约2000标准立方厘米/分钟(square cubic centimeter)(sccm)或大约1-大约1000sccm的流速引入反应器中的氧源气体。氧源可以引入大约0.1-大约100秒的时间。在一个特别的实施方式中,氧源包含具有10℃或更高的温度的水。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氧源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或没有脉冲之间的吹扫而连续地进行脉冲。以低于与硅前体的1∶1比率的分子数量提供氧源或试剂,从而至少一些碳保留在如此沉积的含硅薄膜中。
在某些实施方式中,含硅薄膜包含硅和氮。在这些实施方式中,使用本文所述的方法沉积的含硅薄膜在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。合适的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包含氨等离子体或氢/氮等离子体源气体,其以大约1-大约2000标准立方厘米/分钟(sccm)或大约1-大约1000sccm的流速引入反应器中。含氮源可以引入大约0.1-大约100秒的时间。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氮源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或没有脉冲之间的吹扫而连续地进行脉冲。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氮(N2)、氦(He)、氖、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以大约10到大约2000sccm的流速供应到反应器中大约0.1-1000秒,从而吹扫可能保留在反应器中的未反应物质和任何副产物。
供应前体、氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可通过改变其供应时间来进行从而改变所得含硅薄膜的化学计量组成。
给前体、含氮源、还原剂、其它前体或其组合中的至少一种施加能量以引发反应并在基材上形成含硅薄膜或涂层。这种能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体(helicon plasma)、高密度等离子体、电感耦合等离子体、X-射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,第二RF射频源可以用于改变基材表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生方法可以包括其中等离子体在反应器中直接发生的直接等离子体发生方法或替代的其中等离子体在反应器外发生并供应到反应器中的远程等离子体发生方法。
有机氨基硅烷前体和/或其它含硅前体可以以多种方式输送到反应室如CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器(turbo vaporizer),以使得低挥发性材料能够定体积地输送,这导致可再现的输送和沉积而没有前体的热分解。在液体输送制剂或组合物中,本文所述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物的形式使用。因此,在某些实施方式中,前体制剂可以包括具有在给定的终端应用中期望的和有利的适当特性的溶剂成分以在基材上形成薄膜。
对于其中具有式A、B或C的前体用于包含溶剂和具有式A、B或C的有机氨基硅烷前体的组合物中的那些实施方式,所选择的溶剂或其混合物不与有机氨基硅烷反应。组合物中溶剂的重量百分比的量的范围从0.5重量%到99.5重量%或者从10%重量到75%。在这一或其他实施方式中,所述的溶剂具有和式A、B、C的有机氨基硅烷沸点(b.p.)相似的沸点或者溶剂的沸点和式A、B、C的有机氨基硅烷的沸点之间的差异是40℃或更小,30℃或更小,20℃或更小,或者10℃。或者,沸点之间的差异范围是具有下面任意一个或多个端点:0,10,20,30或40℃。沸点差异合适范围的实例包括但不限于0-40℃、20-30℃或10-30℃。组合物中合适的溶剂的实例包括但不限于:醚(例如1,4-二氧杂环己烷、二丁基醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(例如苯甲腈)、烷基烃(如辛烷、壬烷、十二烷、乙基环己烷))、芳族烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或者它们的混合物。一些非限制性示例组合物包括但不限于:包含二异丙基氨基硅烷(沸点约116℃)和辛烷(沸点125-126℃)的组合物、包含二异丙基氨基硅烷(沸点约116℃)和吡啶(沸点115℃)的组合物、包含二异丙基氨基硅烷(沸点约116℃)和甲苯(沸点110℃)的组合物;包含N-甲基环己基氨基硅烷(沸点约171℃)和癸烷(沸点174℃)的组合物;包含N-甲基环己基氨基硅烷(沸点约171℃)和二乙二醇二甲基醚(沸点162℃)的组合物;包含N-异丙基环己基氨基硅烷(沸点约199℃)和双(2-二甲基氨基乙基)醚(沸点189℃)的组合物、包含N-异丙基环己基氨基硅烷(沸点约199℃)和苄腈(沸点191℃)的组合物。
在另一实施方式中,本文描述了包含一种或多种具有式A、B或C的有机氨基硅烷前体的用于沉积含硅薄膜的容器。在一个特别的实施方式中,该容器包括至少一个配备有适当的阀和配件的可加压容器(优选由不锈钢制成)以允许一种或多种前体输送到用于CVD或ALD工艺的反应器中。在这一实施方式或其它实施方式中,式A、B或C的有机氨基硅烷前体在由不锈钢构成的可加压容器中提供,且前体的纯度为98重量%或更高或者99.5%或更高,这适合于大多数的半导体应用。在某些实施方式中,这种容器也可以具有用于混合所述前体和一种或多种另外的前体(如果需要)的装置。在这些实施方式或其它实施方式中,容器的内容物可以与另外的前体预混合。可选择地,有机氨基硅烷前体和/或其它前体可以保持在独立的容器中或在具有用于在储存期间保持有机氨基硅烷前体和其它前体分离的隔离装置的单一容器中。
如上所述,有机氨基硅烷的纯度水平是足够高以对于可靠的半导体制备为足以接受的。在某些实施方式中,具有本文所述的式A、B或C的有机氨基硅烷前体包含少于2%重量或少于1%重量或少于0.5%重量的一种或多种下面的杂质:游离胺、卤化物和高分子量物质。本文所述的有机氨基硅烷的较高纯度可以通过下述工艺的一种或多种获得:纯化、吸附和/或蒸馏。
在本文所述的方法的一个实施方式中,可以采用循环沉积工艺如CCVD、ALD或PEALD,其中使用至少一种选自具有式A、B或C的有机氨基硅烷前体的含硅前体和任选地含氮源(例如,举例来说,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体)。
在本文所述的方法的特定实施方式中,在沉积工艺中使用还原剂。还原剂的实例包括但不限于氢、肼或者氢等离子体。
在某些实施方式中,连接前体罐到反应室的气体管线根据工艺需要加热到一个或多个温度,且具有式A、B或C的有机氨基硅烷前体的容器保持在一个或多个温度以进行鼓泡。在其它实施方式中,将包含至少一种具有式A、B或C的含硅前体的溶液注入保持在一个或多个温度下的蒸发器中用于直接液体注射。
氩和/或其它气体的流可以用作载气以在前体脉冲期间帮助输送该至少一种有机氨基硅烷前体的蒸气到反应室。在某些实施方式中,反应室处理压力为大约1Torr。
在典型的ALD或CCVD工艺中,基材(如氧化硅基材)在初始暴露于该含硅前体的反应室中的加热器台上加热,以使得该复合物化学吸附到基材表面上。
吹扫气体(如氩气)从处理室吹扫掉未吸附的过量复合物。在充分吹扫后,含氮源可以被引入反应室中以与吸附的表面反应,随后进行另一气体吹扫以从该室除去反应副产物。处理循环可以重复至获得期望的薄膜厚度。
在这一实施方式或其它实施方式中,可以理解,本文所述的方法的步骤可以以各种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和含氮源气体的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅薄膜的化学计量组成。
在本文公开的方法的另一实施方式中,使用包括以下步骤的ALD沉积方法形成含硅和氮的薄膜:
在ALD反应器中提供基材;
向ALD反应器中引入至少一种具有A、B和C或其混合物的有机氨基硅烷前体:
Figure BSA00000763713200291
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,和其中式A中的R和R1也可结合以形成环状基团;
使该至少一种有机氨基硅烷前体化学吸附到基材上;
用吹扫气体吹扫掉未反应的该至少一种有机氨基硅烷前体;
向加热的基材上的有机氨基硅烷前体提供含氮源以与吸附的至少一种有机氨基硅烷前体反应;和
任选地吹扫掉任何未反应的含氮源。
在本文公开的方法的另一实施方式中,使用包括以下步骤的ALD沉积方法形成含硅薄膜:
在反应器中提供基材;
向反应器中引入至少一种具有A、B和C或其混合物的有机氨基硅烷前体:
Figure BSA00000763713200301
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,和其中式A中的R和R1也可结合以形成环状基团;
使该至少一种有机氨基硅烷前体化学吸附到基材上;
用吹扫气体吹扫掉未反应的该至少一种有机氨基硅烷前体;
向加热的基材上的有机氨基硅烷前体提供氧源以与吸附的至少一种有机氨基硅烷前体反应;和
任选地吹扫掉任何未反应的氧源。
在本文公开的方法的进一步实施方式中,有机氨基硅烷用于沉积含硅薄膜,所述膜为无定形薄膜、晶体硅薄膜或其混合物。在这些实施方式中,使用包括以下步骤的选自ALD或循环CVD的沉积方法形成含硅薄膜:
将基材置于反应器中,其被加热到从环境温度到大约700℃的范围的温度并保持在1Torr或更低的压力下;
向反应器中引入至少一种具有A、B和C或其混合物的有机氨基硅烷前体:
Figure BSA00000763713200302
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,和其中式A中的R和R1也可结合以形成环状基团;
向反应器中提供还原剂以便至少部分地与该至少一种有机氨基硅烷前体反应,并在一个或多个基材上沉积含硅薄膜,其中还原剂选自由氢、氢等离子体或氯化氢组成的组中的至少一种。
上述步骤限定了本文所述方法的一个循环,且该循环可以重复进行直到获得期望的含硅薄膜厚度。在这一实施方式或其它实施方式中,可以理解,本文所述方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和氧源的相应步骤可以通过改变供应这些物质的时间长度来进行以改变所产生的含硅薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量的量使用氧。
对于多组分含硅薄膜,其它前体如含硅前体、含氮前体、还原剂或其它试剂可以交替地引入反应室中。
在本文所述方法的进一步实施方式中,使用热CVD工艺沉积含硅薄膜。在这一实施方式中,该方法包括:
将一个或多个基材置于反应器中,其被加热到从环境温度到大约700℃的范围的温度并保持在1Torr或更低的压力下;
引入至少一种包含具有式A、B和C或其混合物的有机氨基硅烷:
Figure BSA00000763713200311
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的其中碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,和其中式A中的R和R1也可结合以形成环状基团;
向反应器中提供氧源以至少部分地与该至少一种有机氨基硅烷前体反应并沉积含硅薄膜到一个或多个基材上。在该CVD方法的某些实施方式中,反应器在引入步骤过程中保持在100mTorr至600mTorr的压力下。
上述步骤限定了用于本文所述方法的一个循环,且该循环可以重复进行直到获得期望的含硅薄膜厚度。在这一实施方式或其它实施方式中,可以理解本文所述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和氧源的相应步骤可以通过改变供应这些物质的时间长度来进行以改变所产生的含硅薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量的量使用氧。
对于多成分含硅薄膜,其它前体如含硅前体、含氮前体、氧源、还原剂和/或其它试剂可以交替地引入反应室中。
在本文所述方法的进一步实施方式中,使用热CVD工艺沉积含硅薄膜。在这一实施方式中,该方法包括:
将一个或多个基材置于反应器中,其被加热到从环境温度到大约700℃的范围的温度并保持在1Torr或更低的压力下;
引入至少一种具有式A、B和C或其混合物的有机氨基硅烷前体用作至少一种含硅前体:
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,和其中式A中的R和R1也可结合以形成环状基团;
向反应器中提供含氮源以至少部分地与该至少一种有机氨基硅烷前体反应并沉积含硅薄膜到一个或多个基材上。在该CVD方法的某些实施方式中,反应器在引入步骤过程中保持在100mTorr至600mTorr的压力下。
在某些实施方式中,具有本文所述的式A、B或C的有机氨基硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。在这些实施方式中,使用ALD或CVD工艺(如本文所述的那些工艺)利用金属醇盐、金属氨基化物或挥发性有机金属前体沉积含金属薄膜。可用于本文所述方法的合适的金属醇盐前体的例子包括,但不限于,3-6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体的3-6族金属络合物、具有烷氧基和烷基取代的吡咯基配体的3-6族金属络合物、具有烷氧基和二酮根(diketonate)配体的3-6族金属络合物、具有烷氧基和酮酯配体的3-6族金属络合物;可以用于本文所述的方法中的合适的金属氨基化物前体的例子包括,但不限于,四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)二(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)二(二乙基氨基)钨、二(叔丁基亚氨基)二(乙基甲基氨基)钨及其组合。可以用于本文公开的方法的合适的有机金属前体的例子包括,但不限于,3族金属环戊二烯基化物或烷基环戊二烯基化物。本文的示例性的3-6族金属包括,但不限于,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
在某些实施方式中,所产生的含硅薄膜或涂层可以进行沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光照射、电子束照射和/或影响薄膜的一个或多个特性的其它处理。
在某些实施方式中,本文所述的含硅薄膜具有6或更低的介电常数。在这些实施方式或其它实施方式中,薄膜可以具有大约5或更低的或者大约4或更低的或者大约3.5或更低的介电常数。但是,可以预想的是,可以根据薄膜的预期终端用途形成具有其它介电常数(例如,更高或更低)的薄膜。使用本文所述的有机氨基硅烷前体和方法形成的包含硅或含硅薄膜的实例具有式SixOyCzNvHw,其中Si的范围为大约10%至大约40%,O的范围为大约0%至大约65%,C的范围为大约0%至大约75%或大约0%至大约50%,N的范围为大约0%至大约75%或大约0%至大约50%,和H的范围为大约0%至大约50%,以上百分比为原子重量百分比,其中x+y+z+v+w=100原子重量百分比,如通过例如XPS或其它方法测定的。
如以前所述,本文所述的方法可以用于在基材的至少一部分上沉积含硅薄膜。合适的基材的例子包括,但不限于,硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化的碳化硅、氮化硅、氢化的氮化硅、碳氮化硅、氢化的碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、有机聚合物、多孔有机和无机材料、金属(如铜和铝)及扩散阻挡层(例如,但不限于,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN)。薄膜与各种后续的加工步骤相容,例如,举例来说,化学机械平面抛光(CMP)和各向异性蚀刻工艺。
沉积的薄膜具有包括,但不限于,计算机芯片、光学器件、磁信息存储、支撑材料或基材上的涂层、微型机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)和液晶显示器(LCD)的应用。
以下实施例举例说明了本文所述的制备有机氨基硅烷前体的方法以及沉积的含硅薄膜,且不意图以任何方式限制本发明。
实施例
实施例1:N-异丙基环己基氨基硅烷和具有式A的其他有机氨基硅烷前体的合成
在500ml Schlenk烧瓶中,将247.3克(1.75mol)的N-异丙基环己基胺和229.9g(1.75mol)的二异丙基氨基硅烷在氮气下回流8天。在40mmHg的压力和50℃下真空除去副产物二异丙基胺。真空分馏获得50克纯N-异丙基环己基氨基硅烷。由差示扫描量热法(DSC)测量的标准沸点(在1大气压下测量)为约199℃。终产物由图1中给出的质谱(MS)表征,其具有171(M+),156(M-CH3)处的峰。
仔细洗涤两支10cc不锈钢安瓿并且使用前在175℃烘烤。在手套式操作箱中向安瓿中单独加载5克N-异丙基环己基氨基硅烷样品。然后使用预设在80℃±2℃的实验室烤箱将安瓿存储在恒定温度环境中1周和两周的期间。由气相色谱(GC)评价样品以确定降解程度。GC结果显示被分析物一周仅仅下降约0.2wt%和两周下降0.27wt%,表明其具有优异的稳定性并能够用作可靠的半导体工艺的合适前体。
根据本文在方程式(1)中描述的反应方案,使用二异丙基氨基硅烷和一种或多种在表I中提供的以下胺类制备其他式A的有机氨基硅烷前体。由真空蒸馏得到期望的具有式A的有机氨基硅烷前体并用质谱(MS)表征。各种有机氨基硅烷前体的分子量(MW)、结构和相应的MS碎片峰在表III中提供以确认它们的身份。
表III.具有式A的有机氨基硅烷
Figure BSA00000763713200361
Figure BSA00000763713200381
实施例2:N-2-吡啶基二硅氮烷和其他具有式B的有机氨基硅烷前体的合成
在500ml Schlenk烧瓶中,在氮气氛下将57克(0.5mol)的2-氨基吡啶和196.5g(1.5mol)的二异丙基氨基硅烷在环境温度下搅拌12小时。在20mmHg的压力和室温(25℃)下真空除去相对低沸点的副产物二异丙基胺。然后再搅拌混合物12小时。通过在6mmHg下60℃沸点的真空蒸馏获得产物N-2-吡啶基二硅氮烷(65g,84.5%产率)。终产物通过图1中给出的质谱(MS)表征,并特别地显示154(M+),153(M-CH3),123(M-SiH3),121,106,94和80处的峰。N-2-吡啶基二硅氮烷的分子量为154.32。
根据本文在方程式(5)中描述的反应方案,使用二异丙基氨基硅烷和一种或多种在表II中提供的以下胺类(式B)以提供反应混合物,且反应混合物在氮气氛和环境温度下搅拌12小时而制备其他有机氨基硅烷前体。所选胺类的选择影响期望得到的终产物前体。例如,N-金刚烷基二硅氮烷由包含二异丙基氨基硅烷和1-金刚烷基胺的反应混合物制得。在20mmHg的压力和室温(25℃)下真空除去相对低沸点的副产物二异丙基胺。随后再搅拌反应混合物12小时。由真空蒸馏得到具有式B的期望的有机氨基硅烷终产物。用质谱(MS)表征终产物,且各种终产物的峰和分子量在表IV中提供以确认它们的身份。
表IV.具有式B的有机氨基硅烷
Figure BSA00000763713200391
Figure BSA00000763713200401
Figure BSA00000763713200411
Figure BSA00000763713200421
Figure BSA00000763713200431
实施例3:N,N’二甲硅烷基-反式-2,5-二甲基哌嗪和其他具有式C的有机氨基硅烷前体的合成
在500ml Schlenk烧瓶中,在氮气氛下将57克(0.5mol)的反式-2,5-二甲基哌嗪和196.5g(1.5mol)的二异丙基氨基硅烷在环境温度下搅拌12小时。在20mmHg的压力和室温(25℃)下真空除去相对低沸点的副产物二异丙基胺。然后再搅拌混合物12小时。通过在10mmHg下54℃沸点的真空蒸馏获得产物N,N’二甲硅烷基-反式-2,5-二甲基哌嗪(78g,90%产率)。终产物通过图2中给出的质谱(MS)表征,并特别地显示174(M+),159(M-CH3),143(M-SiH3),131,117,100,83,72和58处的峰。N,N’二甲硅烷基-反式-2,5-二甲基哌嗪的分子量为174.39。
根据本文在方程式(6)中描述的反应方案,使用二异丙基氨基硅烷和一种或多种在表II中提供的以下胺类(式B或C)提供反应混合物,并且在氮气氛和环境温度下搅拌所述反应混合物12小时制备其他有机氨基硅烷前体。所选胺类的选择影响期望得到的终产物前体。例如,N,N’-二(2-嘧啶基)三硅氮烷由包含二异丙基氨基硅烷和2-氨基嘧啶的反应混合物制得。在20mmHg的压力和室温(25℃)下真空除去相对低沸点的副产物二异丙基胺。随后再搅拌混合物12小时。由真空蒸馏得到具有式C的期望的有机氨基硅烷终产物。用质谱(MS)表征终产物且在表V中提供各种终产物的的峰和分子量。
表V.具有式C的有机氨基硅烷
Figure BSA00000763713200441
Figure BSA00000763713200451
实施例4:式A前体的相对化学稳定性的计算机模拟
为了了解用于沉淀工艺的候选前体的热稳定性,对下面的式A前体进行量子力学计算:N-甲硅烷基十氢喹啉、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷和二环己基氨基硅烷。使用密度泛函理论(DFT)评价下面的歧化反应(scrambling reaction)的动力学和热力学行为而得到量子力学计算:
2SiH3L→SiH4+SiH2L2
(其中L=十氢喹啉、N-甲基环己基氨基、N-乙基环己基氨基、N-异丙基环己基氨基和二环己基氨基基团)。
该特定反应被选择用于模拟是因为硅烷(SiH4)形成的实验证据,硅烷由于在圆筒顶空中累积的压力而产生潜在的安全危险。在一系列产生SiX4和SiH4作为最终产物的类似的步骤中,该反应首先和通常被认为是速率限制的。在所有电子近似法中使用由B88交换函数(Becke,Phys.Rev.A 38.30981988)和LYP相关函数(Lee YangParr,Phys.Rev.B37,7851988)组成的BLYP密度函数与双数偏振基本设定和4.0°A球形截止(global cutoff)结合来进行计算,如由Accelrys(B.Delley,J.Chem.Phys.92,5081990;B.Delley,J.Chem.Phys.113.77562000)在Materials
Figure BSA00000763713200461
5.5的Dmol3模块中执行的。
计算的结果在表VI中提供。从表VI中可以看出所有的反应都是热有利的或者接近于热中性的(由负的或者接近于0的反应能,Erxn表示)。表VI还显示增加与氮原子相连的碳原子上的体积提高了歧化反应的活化能(Ea),这表明经减慢动力学增加相对于该热分解机制的热稳定性。提高活化能导致较少部分的分子具有足够能量以克服在反应物和产物(它的形成将否则被热力学促进)之间的能障。结果是化学反应在给定的温度下减速,或者可选择的,需要温度升高以达到由机制产生的特定降解速率。
表VI.相对化学稳定性的比较(能量用kcal/mol表示)
  前体:   Ea   Erxn
  N-甲硅烷基十氢喹啉(表I第16号)   48.3   -0.1
  N-甲基环己基氨基硅烷(表I第5号)   38.6   -3.6
  N-乙基环己基氨基硅烷(表I第6号)   41.4   -3.8
  N-异丙基环己基氨基硅烷(表I第17号)   51.2   -2.8
  二环己基氨基硅烷(表I第7号)   55.5   +1.0
实施例5:氧化硅薄膜的原子层沉积
使用下面式A的前体进行氧化硅薄膜的原子层沉积:N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷和N-异丙基环己基氨基硅烷。在实验室规模的ALD处理设备上进行沉积。在进入沉积区域前,将所有气体(例如吹扫和反应气体或者前体和氧源)预先加热到100℃。用具有高速致动的ALD隔膜阀控制气体和前体流率。沉积中使用的基材是12英寸长的硅条,其具有连接在样品固定器上的热电偶以确认基材温度。以臭氧作为氧源气体使用400个循环的基线进行沉积,且沉积的工艺参数在表VII中提供。
表VII:以O3生成基础ALD氧化物薄膜的工艺:
Figure BSA00000763713200471
用沉积速率和折射率表征所产生的SiO2薄膜。通过将来自薄膜的反射数据与预设的物理模型(例如Lorentz Oscillator模型)拟合,使用FilmTek 2000SE椭率计测量薄膜的厚度和折射率。对于折射率,大约1.44-1.47的值反映典型的CVD氧化硅薄膜。所有测试的前体沉积具有大约1.4-大约1.5的折射率的薄膜。
经ALD在150℃基材温度下,使用N-甲基环己基氨基硅烷和臭氧沉积氧化硅薄膜。在17Torr下,以从1秒到5秒内的脉冲时间输送N-甲基环己基氨基硅烷前体,同时臭氧流被固定在4秒5000sccm。图7显示N-甲基环己基氨基硅烷薄膜的沉积速率独立于前体的脉冲时间,表现出自限的性能,
Figure BSA00000763713200472
/循环。薄膜的折射率是在1.45-1.47之间,这对于氧化硅薄膜是典型的。
经在75℃、100℃和150℃的温度下沉积的ALD使用N-甲基环己基氨基硅烷和臭氧沉积氧化硅薄膜。在17Torr下输送N-甲基环己基氨基硅烷前体两秒同时臭氧流被固定在4秒5000sccm。表VIII提供了随基材温度变化的沉积速率。
经ALD在从45℃到150℃的各种不同温度范围下使用N-乙基环己基氨基硅烷和臭氧沉积碳氧化硅薄膜。在9Torr下输送N-乙基环己基氨基硅烷前体2秒,同时臭氧流被固定在4秒5000sccm。表VIII提供了随基材温度变化的沉积速率。沉积的薄膜的折射率也在表VIII中提供。随着温度的增加,N-甲基环己基氨基硅烷沉积薄膜的折射率从1.60降到1.50。较高的折射率表明在氧化硅薄膜中更多的碳掺杂剂。
经ALD在从45℃到300℃的不同温度下使用N-异丙基环己基氨基硅烷和臭氧沉积碳氧化硅薄膜。在3Torr下输送N-异丙基环己基氨基硅烷前体4秒,同时臭氧流被固定在2秒5000sccm。表VIII提供了随基材温度变化的沉积速率。沉积薄膜的折射率也在表VIII中提供。随着温度的增加,N-乙基环己基氨基硅烷沉积薄膜的折射率从1.77降到1.50。使用N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、N-异丙基环己基氨基硅烷沉积的薄膜的沉积速率相对温度的对比在表VIII中提供。表VIII显示在沉积工艺期间,较大的R取代基团例如异丙基产生空间位阻,这导致相对于较小的R取代基例如甲基,产生较低的沉积速率以及由较高的折射率证明的更多碳并入。然而,很可能经由调整ALD条件(例如使用除臭氧外的其他氧化剂)而使得碳含量可以减少,从而使得N-乙基环己基氨基硅烷或N-异丙基环己基氨基硅烷能够被用于沉积高纯度氧化硅。
表VIII:ALD沉淀结果
Figure BSA00000763713200481
Figure BSA00000763713200491

Claims (15)

1.一种由下式A、B或C之一表示的有机氨基硅烷:
Figure FSA00000763713100011
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;
其中R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;
其中R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2;和
其中在式A中的R和R1也可结合以形成环状基团且
其中式C中的R、R1和R2的任一个或多个也可结合以形成环状基团。
2.权利要求1所述的有机氨基硅烷,其包含其中R和R1结合以形成5或6元碳环或者杂环的,取代或者未取代的芳香环的式A,优选所述有机氨基硅烷是选自由N-甲硅烷基吡咯、N-甲硅烷基-2,5-二甲基吡咯和1-甲硅烷基-7-氮杂吲哚组成的组中的一种;或者
其包含其中R和R1结合以形成5或6元碳环或者杂环的、取代或者未取代的脂族环的式A,优选所述有机氨基硅烷是选自由2,6-二甲基吗啉代硅烷、2-甲基吡咯烷基硅烷和N-甲硅烷基十氢喹啉组成的组中的一种。
3.权利要求1所述的有机氨基硅烷,其包含其中R和R1是相同取代基的式A,条件是R和R1二者不是下面基团中的一种:乙基、异丙基、叔丁基、异丁基、仲丁基、正丁基、叔戊基和仲戊基。
4.权利要求1所述的有机氨基硅烷,其包含其中R和R1是不同取代基的式A,优选所述有机氨基硅烷是选自N-丙基-异丙基氨基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、烯丙基苯基氨基硅烷、N-异丙基环己基氨基硅烷、烯丙基环戊基氨基硅烷、苯基环己基氨基硅烷和2-(N-甲硅烷基甲基氨基)吡啶组成的组中的一种。
5.权利要求1所述的有机氨基硅烷,其包含其中R是取代的C5-C10芳基的式B,其中该芳基被下面的一种或多种取代:杂原子、烷基、烯基或者烷氧基;或者
其包含其中R是取代的C2-C10烷基的式B,其中该烷基被下面的一种或多种取代:杂原子、烷基、芳基、烷基、烷基氨基或者烷氧基。
6.用于沉积含硅薄膜的组合物,其包含:
具有式A、B和C或其混合物的有机氨基硅烷:
Figure FSA00000763713100021
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;和
选自醚、叔胺、腈、烷基烃、芳香烃、叔氨基醚或其混合物的溶剂,
优选其中所述有机氨基硅烷和溶剂各自具有沸点,并且其中有机氨基硅烷的沸点和溶剂的沸点之间的差异是40℃或更小;
更优选其中所述有机氨基硅烷和溶剂各自具有沸点,并且其中有机氨基硅烷的沸点和溶剂的沸点之间的差异是20℃或更小。
7.一种用于形成选自结晶薄膜、无定形硅薄膜或其混合物的含硅薄膜的方法,其采用选自ALD或循环CVD的沉积方法,包括以下步骤:
将基材置于反应器中,该反应器被加热至从环境温度到大约700℃的范围内的温度并保持1Torr或更低的压力;
向反应器中引入至少一种具有式A、B和C或其混合物的有机氨基硅烷:
Figure FSA00000763713100031
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或在式C中具有或不具有取代基的甲硅烷基;R1独立地选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;C1-C10烷基氨基;或者具有取代基的甲硅烷基;并且R2表示单键;饱和或不饱和的、直链或支链的、取代或未取代的碳原子数目为1-10的烃链;饱和的或不饱和的碳环或杂环;SiR2;或者SiH2,并且其中式A中的R和R1也可结合以形成环状基团;
向反应器中提供还原剂以使其至少部分地与该至少一种有机氨基硅烷前体反应,并在一个或多个基材上沉积含硅薄膜,其中所述还原剂是选自由氢、氢等离子体或肼组成的组中的至少一种;和
其中重复所述步骤直至得到期望的含硅薄膜厚度。
8.一种下式A的有机氨基硅烷:
Figure FSA00000763713100041
其中R独立地选自C1-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;直链或支链C2-C10烯基;C1-C10烷氧基;或C1-C10烷基氨基;
其中R1独立的选自C3-C10直链或支链的烷基;C3-C10环烷基;C5-C10芳基;C3-C10饱和或不饱和的杂环基;氢原子;直链或支链C2-C10烯基;C1-C10烷氧基;或C1-C10烷基氨基;并且
条件是式A中R和R1是选自有下面组成的组中的一种:
(a)R和R1结合以形成5或6元杂环的、取代或者未取代的芳香环;
(b)R和R1结合以形成5或6元杂环的、取代或者未取代的脂族环;
(c)R和R1是相同的取代基,条件是R和R1二者不是下面基团中的一种:乙基、异丙基、叔丁基、异丁基、仲丁基、正丁基、叔戊基和仲戊基;
(d)R和R1是不同的取代基;或
(e)R选自C5-C6环烷基和R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
9.权利要求8所述的有机氨基硅烷,其中R和R1结合以形成5或6元杂环的、取代或者未取代的芳族环,并且其中所述有机氨基硅烷是选自由N-甲硅烷基吡咯、N-甲硅烷基-2,5-二甲基吡咯和1-甲硅烷基-7-氮杂吲哚组成的组中的一种;或者
其中R和R1结合以形成5或6元杂环的、取代或者未取代的脂族环,并且其中所述有机氨基硅烷是选自由2,6-二甲基吗啉代硅烷、2-甲基吡咯烷基硅烷和N-甲硅烷基十氢喹啉组成的组中的一种。
10.权利要求8所述的有机氨基硅烷,其中R和R1是相同的取代基,并且包含二环己基氨基硅烷;或者
其中R和R1是不同的取代基,并且其中所述有机氨基硅烷是选自由N-丙基-异丙基氨基硅烷、N-甲基环己基氨基硅烷、N-乙基环己基氨基硅烷、烯丙基苯基氨基硅烷、N-异丙基环己基氨基硅烷、烯丙基环戊基氨基硅烷、苯基环己基氨基硅烷和2-(N-甲硅烷基甲基氨基)吡啶组成的组中的一种。
11.权利要求8所述的有机氨基硅烷,其中R选自C5-C6环烷基,并且R1选自由直链或支链C1-C3烷基或C5-C6环烷基组成的组。
12.一种下式B的有机氨基硅烷:
Figure FSA00000763713100051
其中R选自C6-C10取代或者未取代的芳基,条件是R不是苯基;C3-C10取代或未取代的环烷基;直链或支链的、取代或者未取代的C2-C10烯基;C1-C10烷氧基烷基;C1-C10烷基氨基或二烷基氨基;或C4-C10直链或支链的、取代或未取代的烷基,条件是R不是未取代的叔丁基、叔戊基或环己基。
13.权利要求12所述的有机氨基硅烷,其中R是取代的C5-C10芳基和其中所述芳基被一个或多个下述基团取代:烷基、烯基、氨基或烷氧基;优选所述的有机氨基硅烷为选自下面组成的组中的一种:N-(4-甲氧基苯基)二硅氮烷、N-(3-甲氧基苯基)二硅氮烷、N-(2-甲氧基苯基)二硅氮烷、N-(4-氯苯基)二硅氮烷、N-(2-氯苯基)二硅氮烷、N-(2-乙基苯基)二硅氮烷、N-(2,6-二乙基苯基)二硅氮烷、N-(2-丙基苯基)二硅氮烷、N-(4-叔丁基苯基)二硅氮烷、N-(4-异丙基苯基)二硅氮烷、N-(2-异丙基苯基)二硅氮烷、N-(3-乙基苯基)二硅氮烷、N-(4-仲丁基苯基)二硅氮烷、N-(4-乙烯基苯基)二硅氮烷、N-(3-甲基苯基)二硅氮烷、N-(4-甲基苯基)二硅氮烷、N-(2,4,6-三甲基苯基)二硅氮烷和N-(2,6-二异丙基苯基)二硅氮烷。
14.权利要求12所述的有机氨基硅烷,其中R是C5-C10杂环基,其中该杂环基在环中包含N或O原子,并且所述基团可以被一个或多个下面的基团取代:烷基、烯基、氨基或烷氧基,优选地所述有机氨基硅烷为选自由下面组成的组中的一种:1-N-(2-吡啶基)二硅氮烷、N,N-二甲硅烷基-2-氨基嘧啶、N-(4-甲基-2-吡啶基)二硅氮烷、N-(6-甲基-2-吡啶基)二硅氮烷、N-(3-甲基-2-吡啶基)二硅氮烷、N-(5-甲基-2-吡啶基)二硅氮烷和N-[2-(4-甲基嘧啶基)氨基]二硅氮烷。
15.权利要求12所述的有机氨基硅烷,其中R是取代的C2-C10烷基,其中该烷基被一个或多个下述基团取代:杂原子(例如,N、Cl、O)、烷基、芳基、烷基、烷基氨基或烷氧基,优选地所述有机氨基硅烷是选自由下面组成的组中的一种:N-叔戊基二硅氮烷、N-(2-二甲基氨基-1-甲基乙基)二硅氮烷、N-(2-二甲基氨基乙基)二硅氮烷、N-(1-环己基乙基)二硅氮烷、N,N-二甲硅烷基枯基胺、N-[3,3二甲基丁基-2]二硅氮烷、N,N-二甲硅烷基-2-吡啶甲基胺、N,N-二甲硅烷基-2-(2-吡啶基)乙基胺和N,N-二甲硅烷基-1-(4-甲基苯基)乙基胺。
CN201210288836.7A 2011-05-24 2012-05-24 有机氨基硅烷前体及其制备和使用方法 Active CN102827198B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161489486P 2011-05-24 2011-05-24
US61/489,486 2011-05-24
US13/474,076 US8771807B2 (en) 2011-05-24 2012-05-17 Organoaminosilane precursors and methods for making and using same
US13/474,076 2012-05-17

Publications (2)

Publication Number Publication Date
CN102827198A true CN102827198A (zh) 2012-12-19
CN102827198B CN102827198B (zh) 2016-02-17

Family

ID=46197051

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210288836.7A Active CN102827198B (zh) 2011-05-24 2012-05-24 有机氨基硅烷前体及其制备和使用方法

Country Status (6)

Country Link
US (2) US8771807B2 (zh)
EP (1) EP2535343B1 (zh)
JP (3) JP6404540B2 (zh)
KR (3) KR101470876B1 (zh)
CN (1) CN102827198B (zh)
TW (1) TWI541248B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
CN107540705A (zh) * 2013-06-07 2018-01-05 Dnf有限公司 新氨基‑甲硅烷基胺化合物、制备其的方法和使用其的含硅薄膜
CN107636198A (zh) * 2015-03-30 2018-01-26 乔治洛德方法研究和开发液化空气有限公司 用于形成含硅和氧的薄膜的汽相沉积方法
CN109072426A (zh) * 2016-02-26 2018-12-21 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN110036139A (zh) * 2016-12-11 2019-07-19 乔治洛德方法研究和开发液化空气有限公司 用于薄膜沉积的短无机三甲硅烷基胺基聚硅氮烷
CN110573652A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
WO2020219349A1 (en) * 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
CN112279665A (zh) * 2020-11-06 2021-01-29 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
CN113403604A (zh) * 2015-07-31 2021-09-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法

Families Citing this family (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6024484B2 (ja) * 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10573511B2 (en) * 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
JP6267374B2 (ja) * 2013-07-31 2018-01-24 東京エレクトロン株式会社 シリコン膜の成膜方法
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
EP3049499B1 (en) 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6272033B2 (ja) * 2014-01-06 2018-01-31 株式会社Adeka 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
CN106459098A (zh) * 2014-05-30 2017-02-22 道康宁公司 单氨基硅烷化合物
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
SG10201600832VA (en) * 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
CN107406981A (zh) * 2015-03-12 2017-11-28 巴斯夫欧洲公司 产生薄无机膜的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
EP3359705B1 (en) * 2015-10-06 2021-12-08 Versum Materials US, LLC Methods for depositing a conformal metal or metalloid silicon nitride film
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TWI754626B (zh) * 2015-12-18 2022-02-11 中國大陸商南大光電半導體材料有限公司 高純度三矽烷胺、製造方法、及用途
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) * 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017154202A1 (ja) * 2016-03-11 2017-09-14 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
TW201822259A (zh) * 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20200247830A1 (en) * 2019-02-01 2020-08-06 Versum Materials Us, Llc Compositions And Methods Using Same for Silicon Containing Films
CN113383108A (zh) 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113227874A (zh) * 2019-05-25 2021-08-06 国立大学法人东北大学 扫描镜及扫描镜的制造方法
US20220066198A1 (en) * 2019-05-25 2022-03-03 Tohoku University Scanning mirror and manufacturing method for scanning mirror
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7336365B2 (ja) * 2019-11-19 2023-08-31 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
JP7472312B2 (ja) * 2020-03-31 2024-04-22 インテグリス・インコーポレーテッド ケイ素含有膜を調製するための前駆体及び方法
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN112420137B (zh) * 2020-11-18 2024-02-06 齐鲁工业大学 一种球体包覆模型的构建方法以及界面吸附评价方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023195691A1 (ko) * 2022-04-08 2023-10-12 (주)디엔에프 실라잔 화합물을 포함하는 실리콘 함유 봉지막용 조성물 및 이를 이용하는 실리콘 함유 봉지막의 제조방법
US20240182498A1 (en) * 2022-11-22 2024-06-06 Entegris, Inc. Disilylamine precursors and related methods

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
EP1724373A1 (en) * 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
CN101065390A (zh) * 2004-09-28 2007-10-31 普莱克斯技术有限公司 有机金属前体化合物
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
WO2011005653A1 (en) * 2009-07-06 2011-01-13 Llinde Aktiengesellschaft Solution based precursors
CN102024880A (zh) * 2009-09-11 2011-04-20 气体产品与化学公司 用于薄膜硅光电装置的硅烷的添加剂

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
SE396216B (sv) * 1973-03-15 1977-09-12 Thomae Gmbh Dr K Forfarande for framstellning av n-(2-amino-3,5-dibrombensyl)-n-metylcyklohexylamin
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
GB8825864D0 (en) * 1988-11-04 1988-12-07 Tioxide Group Plc Curable compositions
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JPH08227890A (ja) 1995-02-21 1996-09-03 Sony Corp 半導体基体の保護絶縁膜形成方法
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
WO1999028529A1 (en) 1997-12-02 1999-06-10 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
DE60127973T2 (de) 2000-08-18 2008-01-17 Tokyo Electron Ltd. Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
TW473915B (en) 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US6559074B1 (en) 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
KR20050018641A (ko) 2002-07-19 2005-02-23 에비자 테크놀로지, 인크. 아미노실란 및 오존을 이용한 저온 유전체 증착
JP2005534179A (ja) 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド アミノシランとオゾンを用いる低温誘電体蒸着法
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
KR20050069986A (ko) 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7470450B2 (en) 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006042214A (ja) 2004-07-29 2006-02-09 Nec Electronics Corp 半導体装置およびicタグ
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US20060051975A1 (en) 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US20060062913A1 (en) 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US20070292974A1 (en) 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
KR20100109567A (ko) * 2008-02-01 2010-10-08 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 베타-디케티미나토 리간드를 함유하는 새로운 금속 전구체
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5623296B2 (ja) * 2010-01-15 2014-11-12 信越化学工業株式会社 トリシリルアミンの製造方法
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
CN101065390A (zh) * 2004-09-28 2007-10-31 普莱克斯技术有限公司 有机金属前体化合物
EP1724373A1 (en) * 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
WO2011005653A1 (en) * 2009-07-06 2011-01-13 Llinde Aktiengesellschaft Solution based precursors
CN102024880A (zh) * 2009-09-11 2011-04-20 气体产品与化学公司 用于薄膜硅光电装置的硅烷的添加剂

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107540705A (zh) * 2013-06-07 2018-01-05 Dnf有限公司 新氨基‑甲硅烷基胺化合物、制备其的方法和使用其的含硅薄膜
CN107540705B (zh) * 2013-06-07 2020-05-08 Dnf有限公司 新氨基-甲硅烷基胺化合物、制备其的方法和使用其的含硅薄膜
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
CN105845549B (zh) * 2015-01-29 2020-03-03 弗萨姆材料美国有限责任公司 制造3d装置的方法和前体
US10403494B2 (en) 2015-03-30 2019-09-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN107636198B (zh) * 2015-03-30 2020-01-31 乔治洛德方法研究和开发液化空气有限公司 用于形成含硅和氧的薄膜的汽相沉积方法
CN111041456A (zh) * 2015-03-30 2020-04-21 乔治洛德方法研究和开发液化空气有限公司 用于形成含硅和氧的薄膜的汽相沉积方法
CN107636198A (zh) * 2015-03-30 2018-01-26 乔治洛德方法研究和开发液化空气有限公司 用于形成含硅和氧的薄膜的汽相沉积方法
US11699584B2 (en) 2015-03-30 2023-07-11 L'Air Liquide, Société Anonyme pour l'Edute ed l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
CN111041456B (zh) * 2015-03-30 2021-12-10 乔治洛德方法研究和开发液化空气有限公司 用于形成含硅和氧的薄膜的汽相沉积方法
CN113403604B (zh) * 2015-07-31 2024-06-14 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
CN113403604A (zh) * 2015-07-31 2021-09-17 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
CN109072426A (zh) * 2016-02-26 2018-12-21 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN110036139A (zh) * 2016-12-11 2019-07-19 乔治洛德方法研究和开发液化空气有限公司 用于薄膜沉积的短无机三甲硅烷基胺基聚硅氮烷
CN110036139B (zh) * 2016-12-11 2021-12-07 乔治洛德方法研究和开发液化空气有限公司 用于薄膜沉积的短无机三甲硅烷基胺基聚硅氮烷
CN110573652B (zh) * 2017-03-15 2022-07-22 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN110573652A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN113166178A (zh) * 2018-11-15 2021-07-23 Up化学株式会社 硅前体化合物、制备方法及使用其来形成含硅膜的方法
US11905305B2 (en) 2018-11-15 2024-02-20 Up Chemical Co., Ltd. Silicon precursor compound, preparation method therefor, and silicon-containing film formation method using same
WO2020219349A1 (en) * 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
CN112279665B (zh) * 2020-11-06 2022-06-07 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法和应用
CN112279665A (zh) * 2020-11-06 2021-01-29 浙江华茂航天科技股份有限公司 一种吸波陶瓷先驱体及其制备方法

Also Published As

Publication number Publication date
KR20120132403A (ko) 2012-12-05
KR20140142209A (ko) 2014-12-11
TW201247690A (en) 2012-12-01
EP2535343B1 (en) 2016-03-30
KR101470876B1 (ko) 2014-12-09
US20130129940A1 (en) 2013-05-23
US9005719B2 (en) 2015-04-14
EP2535343A3 (en) 2013-03-27
US20140272194A1 (en) 2014-09-18
JP2017171664A (ja) 2017-09-28
JP2014074236A (ja) 2014-04-24
CN102827198B (zh) 2016-02-17
JP6404540B2 (ja) 2018-10-10
TWI541248B (zh) 2016-07-11
US8771807B2 (en) 2014-07-08
JP2012248844A (ja) 2012-12-13
KR20200051543A (ko) 2020-05-13
EP2535343A2 (en) 2012-12-19
JP6498864B2 (ja) 2019-04-10

Similar Documents

Publication Publication Date Title
CN102827198B (zh) 有机氨基硅烷前体及其制备和使用方法
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
CN103451619B (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
CN102295657B (zh) 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
KR101820397B1 (ko) 알콕시아미노실란 화합물 및 이의 응용품
US9677178B2 (en) Alkoxyaminosilane compounds and applications thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20170527

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.