CN105845549A - 制造3d装置的方法和前体 - Google Patents

制造3d装置的方法和前体 Download PDF

Info

Publication number
CN105845549A
CN105845549A CN201610061517.0A CN201610061517A CN105845549A CN 105845549 A CN105845549 A CN 105845549A CN 201610061517 A CN201610061517 A CN 201610061517A CN 105845549 A CN105845549 A CN 105845549A
Authority
CN
China
Prior art keywords
silicon
plasma
silicon oxide
branched
straight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610061517.0A
Other languages
English (en)
Other versions
CN105845549B (zh
Inventor
李建恒
R·G·里奇韦
雷新建
R·N·弗尔蒂斯
韩冰
M·B·拉奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN105845549A publication Critical patent/CN105845549A/zh
Application granted granted Critical
Publication of CN105845549B publication Critical patent/CN105845549B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1443Non-volatile random-access memory [NVRAM]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述了包含多个含硅层的装置,其中该含硅层选自氧化硅和氮化硅层或薄膜。本文还描述了形成例如待用作3D垂直NAND闪存堆叠的装置的方法。在所述装置的一个具体的方面中,氧化硅层具有轻微压缩应力和良好的热稳定性。在所述装置的这一或其他方面中,氮化硅层具有轻微拉伸应力和在最高达约800℃的热处理后小于300MPa的应力变化。在所述装置的这一或其他方面中,氮化硅层在热H3PO4中的蚀刻比氧化硅层快得多,表明良好的蚀刻选择性。

Description

制造3D装置的方法和前体
相关申请的交叉引用
本申请要求2015年1月29日提交的美国临时申请号62/109,381和2015年6月24日提交的美国临时申请号62/183,985的优先权,两者均通过引用以其整体并入本文。
背景技术
全球数据传输继续以爆发式速率增长。包含多个层或多层堆叠(stack)例如但不限于24、32、48、96、128或更多层的三维(3D)闪存装置允许以较小“足印(footprint)”进行更多的数据传输。与常规的NAND存储装置相比,较新型的3D存储装置例如垂直-NAND(VNAND)存储装置具有至少两倍的写入速度、大于10倍的耐久性和大约一半的能量消耗,包含多个层例如但不限于24、32、48或96层或更多层。为了沉积这些多层堆叠,终端用户通常使用氧化硅和氮化硅薄膜的交替沉积。对于某些应用,氮化硅薄膜是牺牲层,其中在一个或多个后续加工步骤中去除所述层。
通常,氧化硅薄膜的压缩应力范围为约-300到约-100兆帕(MPa)。为在沉积多个层例如24、32、48、96或128层后抵消氧化硅层的压缩应力和避免结构破裂或塌缩,氮化硅薄膜的拉伸应力范围应为约+50到约+300MPa。对于大多数含硅前体,升高薄膜的应力通常损害薄膜质量。在某些应用中,例如制造NAND和3D VNAND装置的那些应用,其使结构经历在大于700℃的温度下进行的外延硅沉积步骤,氮化硅薄膜也应具有最小的(minimal)收缩和小于300MPa的应力变化。
美国公开号2014/0284808描述了在650~750℃或更高温度下利用化学气相沉积(CVD)法使用前体四乙氧基硅烷(TEOS)进行氧化硅沉积和使用二氯硅烷进行氮化物沉积。
美国公开号US2008/0260969和美国专利号8,357,430均描述了利用前体三甲硅烷基胺(TSA)进行高质量氮化硅的等离子体增强化学气相沉积(PECVD)的方法。
美国公开号2014/0213065或美国专利号9,018,093描述了沉积包含第一层和第二层的堆叠的层的方法,其中各堆叠的层具有相同的厚度。
美国公开号2014/0213067描述了通过原子层沉积用于SiOCN薄膜沉积或SiCN/SiCO堆叠的方法。
还存在对于基于SiH4的氮化硅薄膜的应力控制的深入研究。这些研究涉及的一些主题如下:较高的NH3:SiH4比、较低的等离子体功率、较高的压力和较高的温度以影响最终的拉伸应力。
因此,本领域中存在着对于包含至少一个氧化硅层和至少一个氮化硅层的3D存储装置或设备和用于其制造的方法的需要,其提供一个或多个以下优点:使用单一含硅前体沉积两种类型的层;该至少一个氮化硅薄膜的拉伸应力范围为约50到约+300(MPa)以避免总体多层堆叠的应力累积;良好的电性质,意味着它在加工后保留其绝缘特性;优异的热稳定性,意味着存在最小的薄膜收缩和应力变化;在相同条件下高于其他前体例如硅烷的较高沉积速率以改进生产量和薄膜性能;具有湿蚀刻选择性使得可以容易地去除牺牲氮化物层;改进的电性能;长期稳定性;及其组合。为简化制造工艺和提高生产量,将有利的是利用用于两种层的单一含硅前体沉积高质量的氧化硅和氮化硅薄膜。
发明简述
本文描述了一种装置,其包含至少一个氧化硅层和至少一个氮化硅层,其中所述至少一个氧化硅层和所述至少一个氮化硅层具有某些特征。本文还描述了用于形成所述装置、多层堆叠、或其中包含至少一个氧化硅层和至少一个氮化硅层的多个含硅层的方法,其用作例如存储装置(如但不限于3D VNAND装置)内的层。
如之前所提及的,所述装置包含多层堆叠,其包含至少一个氧化硅层或薄膜和至少一个氮化硅层或薄膜。在某些实施方式中,层数可以为2到1000层,包括氧化硅和氮化硅。在一个具体的实施方式中,多层堆叠或装置包含24、48、64、96或128个交替的氧化硅和氮化硅薄膜的堆叠,其中各堆叠由一层氧化硅和一层氮化硅组成。在这种或其他实施方式中,所述装置由至少50或更多个交替的氧化硅和氮化硅薄膜的堆叠组成。在这种或其他实施方式中,本文描述的至少一个氧化硅薄膜具有至少一个或多个以下性质:密度为约1.9克每立方厘米(g/cm3或g/cc)或更大;氢含量为4x1022个原子/cm3或更小;应力为-100MPa或更低;介电常数为4.5或更低;在8MV/cm或更高的薄膜击穿电场下的泄漏电流为10-9A/cm2或更低;和其组合。在这种或另一实施方式中,本文描述的至少一个氮化硅层具有至少一个或多个以下性质:密度为约2.2g/cm3或更大;氢含量为约4x1022个原子/cm3或更低;应力为+50MPa或更大;约700到约1000℃下的至少一种热处理后应力变化为300MPa或更小;在热H3PO4中的湿蚀刻速率为10nm/min或更大;和其组合。
一方面,提供了一种装置,其包含:包含至少一个氧化硅层的衬底;和至少一个氮化硅层,其中该氮化硅层具有约2.3g/cm3或更大的密度和通过分析技术例如傅里叶变换红外光谱学(FT-IR)、卢瑟福背散射能谱法(RBS)或氢正向散射(HFS)或其他方法测量的约4x1022原子/cm3或更低的氢含量。在一个实施方式中,所述至少一个氧化硅层和所述至少一个氮化硅层利用相同前体例如本文描述为具有式I-III的化合物的至少一种硅前体来沉积。在可选的实施方式中,所述至少一个氧化硅层利用与所述至少氮化硅层不同的硅前体来沉积。在后一种实施方式中,所述至少一个氮化硅层利用本文描述为具有式I-III的化合物的所述至少一种硅前体来沉积。
在进一步的方面,提供了一种装置,其包含:包含氧化硅层的衬底;沉积在至少一部分金属氧化物上的氮化硅层,其中所述氮化硅层具有约2.3g/cm3或更大的密度和4x1022个原子/cm3或更小的氢含量;和沉积在金属氧化物层和氮化硅层之间的氧化硅层,其中所述氧化硅层具有约2.3g/cm3或更大的密度。
仍然在进一步的方面,提供了一种用于将选自至少一个氧化硅层或至少一个氮化硅层的多个含硅薄膜沉积在衬底的至少一个表面上的方法,所述方法包括:
向反应室提供所述衬底的所述至少一个表面;
向所述反应室中引入至少一种选自具有式I-III的化合物的硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C2-C12烯基;直链或支链C2-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入选自含氧源和含氮源的源;和
经由气相沉积工艺将含硅层沉积在所述衬底的所述至少一个表面上,其中所述气相沉积工艺选自化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强循环化学气相沉积(PECCVD)、原子层沉积(ALD)和等离子体增强原子层沉积(PEALD);优选为等离子体增强化学气相沉积(PECVD)。在一个具体的实施方式中,沉积步骤在约25℃到约1000℃、或约400℃到约1000℃、或约400℃到约600℃、或约450℃到约550℃范围的一个或多个温度下进行。
仍然在进一步的方面,提供了一种用于将氮化硅薄膜沉积在衬底的至少一个表面上的方法,所述方法包括:
向反应室提供所述衬底的所述至少一个表面;
向所述反应室中引入至少一种选自具有式I-III的化合物的硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C2-C12烯基;直链或支链C2-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入含氮源;和
经由气相沉积工艺将氮化硅层沉积在所述衬底的所述至少一个表面上,其中所述气相沉积工艺选自化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强循环化学气相沉积(PECCVD)、原子层沉积(ALD)和等离子体增强原子层沉积(PEALD);优选为等离子体增强化学气相沉积(PECVD)。在一个具体的实施方式中,沉积步骤在环境温度(例如,约23℃)到1000℃、或约400℃到约1000℃、或约400℃到约600℃、或约450℃到约550℃范围的一个或多个温度下进行。
附图简要说明
图1显示在半导体衬底(001)上包含交替的氧化硅层(002)和氮化硅层(003)的装置或多层结构的实施方式。
图2显示槽蚀刻和多晶Si生长后通过热H3PO4蚀刻去除牺牲氮化硅层。
图3显示实施例1中两种示例性TSA-沉积的含氧化硅薄膜的泄漏电流和击穿电压。所述薄膜显示低的泄漏电流和高的击穿电场;图中所示任何噪音归因于设备不稳定性。
图4提供实施例4中交替氧化硅和氮化硅层的128个堆叠的扫描电子显微(SEM)图像,其显示氧化物和氮化物层之间的界面是清晰和明显的。
图5a和5b提供将堆叠浸入热(160~165℃)H3PO4浴90秒以去除氮化硅层后实施例4中128个交替的氧化硅和氮化硅层的堆叠的不同视图的SEM图像,表明可以选择性去除氮化硅层。
图6显示实施例9中硅衬底上的三个氧化物/氮化物双层结构中氮浓度的动态SIMS谱。
发明详述
包含多个氧化硅薄膜和氮化硅层或薄膜的装置或多层结构,诸如例如3D VNAND闪存装置,正在用于电子工业中的许多不同应用。在一个具体的实施方式中,这些多层结构包含:(i)氮化硅层或薄膜,其表现出至少一个或多个以下特征:高密度(例如,通过X射线反射率测量的,大于2.3g/cm3)、通过应力工具测量的拉伸应力为50MPa到300MPa、在约700℃或更高的一个或多个温度下经受热处理后低于3%的薄膜收缩和/或低于300MPa的应力偏移(stress shift)、约50nm/min或更大的沉积速率、和其组合;和(ii)氧化硅层或薄膜,其表现出至少一个或多个以下特征:密度为约1.9克每立方厘米(g/cm3或g/cc)或更大、氢含量为4x1022原子/cm3或更小、应力为-100MPa或更低、介电常数为4.5或更低、泄漏电流为10-9A/cm2或更低、薄膜击穿电场为8MV/cm或更高、和其组合。在这种或其他实施方式中,本文描述的装置中的氮化硅薄膜在约700℃到约1200℃或约700℃到约1000℃的一个或多个温度下经受高温热处理或退火后表现出一个或多个以下性质的基本上3%或更小、2%或更小、或1%或更小的改变。在一个具体的实施方式中,氧化硅薄膜和氮化硅薄膜由单一前体形成。在这种或其他实施方式中,氮化硅薄膜在热H3PO4中表现出高于氧化硅薄膜的湿蚀刻选择性,即在约120℃到约200℃、或约140到约170℃、或约160到约165℃的温度下在热H3PO4中氮化硅薄膜的蚀刻比含硅和氧的薄膜快得多。
除利用常规前体例如硅烷(SiH4)的方法以外,本领域还没有描述利用单一前体来沉积包含氧化硅层和氮化硅层的交替双层结构的多层薄膜的方法。采用单一前体沉积具有氧化硅层和氮化硅层的结构的方法的挑战之一是高质量的含硅和氧的薄膜倾向于具有轻微压缩应力(例如约-300到约-100兆帕(MPa))。为防止多层结构的应力累积和堆叠破裂,氮化硅薄膜应具有补充的拉伸应力(例如约50到300MPa的范围)以抵消氧化硅层的压缩应力。然而,对于大多数有机硅前体和基于硅烷的氮化硅薄膜,所生成的薄膜质量受到损害且可以表现出一个或多个以下问题:低密度(例如,2.2g/cm3或更低)、热退火后巨大的收缩(例如,大于5%,或甚至大于10%)、应力增长700MPa至1GPa、和其组合。对于其中包含至少一个氧化硅层和至少一个氮化硅层的多层结构用于3D VNAND装置的实施方式,制造工艺通常包括一个或多个在约700℃或更高温度下进行的epi工艺步骤。对于这些实施方式,该至少一个包含硅和氮化物的薄膜应耐受约700℃到约1000℃范围的一个或多个温度下的热处理。该温度范围显著地限制适合前体的数目。
用于形成所述一个或多个含硅薄膜或层的方法在本文中称为沉积工艺。用于本文公开的方法的合适沉积工艺的例子包括,但不限于,化学气相沉积(CVD)、循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助化学气相沉积(“PPECVD”)、低温化学气相沉积、化学物质辅助的气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积和低能CVD(LECVD)。在某些实施方式中,薄膜通过原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺沉积。如本文所用,术语“化学气相沉积工艺”指其中衬底暴露于一种或多种与衬底表面反应和/或在衬底表面上分解以产生希望的沉积的挥发性前体的任何工艺。如本文所用,术语“原子层沉积工艺”是指将材料的薄膜沉积到不同组成的衬底上的自限式(self-limiting)(例如,在各反应循环中沉积的薄膜材料的量是恒定的)顺序表面化学作用。虽然本文中使用的前体、试剂和源有时可以描述为“气态的”,但应理解该前体也可以是通过直接蒸发、鼓泡或升华在利用或不利用惰性气体的条件下转运到反应器中的液体或固体。在一些情况下,蒸发的前体可以经过等离子体发生器。在一个实施方式中,使用ALD工艺沉积一个或多个薄膜。在另一实施方式中,使用CCVD工艺沉积一个或多个薄膜。在进一步的实施方式中,使用热CVD工艺沉积一个或多个薄膜。本文使用的术语“反应器”包括,但不限于,反应室或沉积室。
包含含硅层例如氧化硅和氮化硅层的结构利用选自具有式I-III的化合物的至少一种硅前体沉积:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C2-C12烯基;直链或支链C2-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基。示例性的具有式I-III的含硅前体包括但不限于以下化合物:
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或过程中分隔前体的ALD或CCVD方法避免了前体的预反应。在这一方面,如ALD或CCVD工艺的沉积技术用于沉积薄膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其它前体或试剂而经由ALD工艺沉积薄膜。薄膜生长通过自限式控制表面反应、各前体或试剂的脉冲长度和沉积温度进行。但是,一旦衬底表面饱和,薄膜生长就停止。
一种用于将包含含硅层的多层结构沉积在衬底的至少一个表面上的方法,其中所述含硅层选自氧化硅薄膜和氮化硅薄膜,所述方法包括:
提供衬底的所述至少一个表面;
引入至少一种选自具有式I-III的化合物的含硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向反应室中引入选自含氧源和含氮源的源;和
经由气相沉积工艺将含硅层沉积在所述衬底的所述至少一个表面上。
在一个具体的实施方式中,沉积步骤在环境温度到约1000℃、或约400℃到约1000℃、或约400℃到约600℃、或约450℃到约600℃、或约450℃到约550℃的一个或多个温度下进行。在这种或其他实施方式中,衬底包含半导体衬底。含氧源可以选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。含氮源可以选自氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体及其混合物。
在另一个实施方式中,提供了一种用于将至少一个氮化硅薄膜沉积在衬底的至少一个表面上的方法,所述方法包括:
提供衬底的所述至少一个表面;
引入至少一种选自具有式I-III的化合物的含硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C2-C12烯基;直链或支链C2-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入含氮源,或其组合;和
经由气相沉积工艺将氮化硅薄膜沉积在所述衬底的所述至少一个表面上。在一个具体的实施方式中,沉积步骤在环境温度到1000℃、或约400℃到约1000℃、或约400℃到约600℃、或约450℃到约600℃、或约450℃到约550℃的一个或多个温度下进行。
一种用于在半导体衬底的至少一个表面上形成包含多个含硅层的装置的方法,其中所述含硅层选自氧化硅层和氮化硅层,所述方法包括:
提供半导体衬底的所述至少一个表面;
引入至少一种选自具有式I-III的化合物的含硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入选自含氮源,或其组合;
经由气相沉积工艺沉积氮化硅层;
引入选自下组的至少一种含硅前体:硅烷、乙硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、四甲氧基硅烷、三甲氧基硅烷、二叔丁氧基硅烷(DTBOS)、二叔戊氧基硅烷(DTPOS)、二乙基硅烷、三乙基硅烷、二乙氧基甲基硅烷、二甲氧基甲基硅烷、二(叔)丁氧基甲基硅烷、甲基三乙酰氧基硅烷、二甲基乙酰氧基硅烷、二甲基二乙酰氧基硅烷、二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、甲基三乙氧基硅烷、新己基三乙氧基硅烷、新戊基三甲氧基硅烷、二乙酰氧基甲基硅烷、苯基二甲氧基硅烷、苯基二乙氧基硅烷、苯基三乙氧基硅烷、苯基三甲氧基硅烷、苯基甲基二甲氧基硅烷、1,3,5,7-四甲基四环硅氧烷、八甲基四环硅氧烷、1,1,3,3-四甲基二硅氧烷、1-新己基-1,3,5,7-四甲基环四硅氧烷、六甲基二硅氧烷、1,3-二甲基-1-乙酰氧基-3-乙氧基二硅氧烷、1,2-二甲基-1,2-二乙酰氧基-1,2-二乙氧基乙硅烷、1,3-二甲基-1,3-二乙氧基二硅氧烷、1,3-二甲基-1,3-二乙酰氧基二硅氧烷、1,2-二甲基-1,1,2,2四乙酰氧基乙硅烷、1,2-二甲基-1,1,2,2-四乙氧基乙硅烷、1,3-二甲基-1-乙酰氧基-3-乙氧基二硅氧烷、1,2-二甲基-1-乙酰氧基-2-乙氧基乙硅烷、甲基乙酰氧基(叔)丁氧基硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、六甲基乙硅烷、四甲基乙硅烷、和二甲基乙硅烷、六甲基二硅氧烷(HMDSO)、八甲基环四硅氧烷(OMCTS)和四甲基环四硅氧烷(TMCTS)、双(三乙氧基甲硅烷基)甲烷、双(三乙氧基甲硅烷基)乙烷、双(三甲氧基甲硅烷基)甲烷、双(三甲氧基甲硅烷基)乙烷、双(二乙氧基甲基甲硅烷基)甲烷、双(二乙氧基甲基甲硅烷基)乙烷、双(甲基二乙氧基甲硅烷基)甲烷、(二乙氧基甲基甲硅烷基)(二乙氧基甲硅烷基)甲烷及其组合,
向所述反应室中引入选自含氧源,或其组合;
经由气相沉积工艺沉积氧化硅层。在一个具体的实施方式中,沉积步骤在环境温度到1000℃、或约400℃到约1000℃、或约400℃到约600℃、或约450℃到约600℃、或约450℃到约550℃的一个或多个温度下进行。在另一个具体的实施方式中,沉积步骤在使得氧化硅在氮化硅之前沉积来进行。可以重复沉积步骤以提供交替的氮化硅和氧化硅的多层堆叠。
在以上通式以及整个说明书中,术语“烷基”表示具有2-10或2-4个碳原子的直链或支链官能团。示例性的烷基包括,但不限于,乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有一个或多个与其连接的官能团。
在以上通式以及整个说明书中,术语“环烷基”表示具有3-10或4-10个碳原子的环状官能团。示例性的环烷基包括,但不限于,环丁基、环戊基、环己基和环辛基。
在以上通式以及整个说明书中,术语“芳基”表示具有6-10个碳原子的芳族环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯代苄基、甲苯基和邻-二甲苯基。
在以上通式以及整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2-10或2-6个碳原子的基团。示例性的烯基包括,但不限于,乙烯基或烯丙基。
在以上通式以及整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有2-10或2-6个碳原子的基团。
在某些实施方式中,衬底包含半导体衬底。在整个说明书中,术语“半导体衬底”表示包含硅、锗、氧化硅、氮化硅、碳化硅、碳氮化硅、碳掺杂的氧化硅、硼掺杂硅、磷掺杂硅、硼掺杂的氧化硅、磷掺杂的氧化硅、硼掺杂的氮化硅、磷掺杂的氮化硅、金属(例如铜、钨、铝、钴、镍、钽)、金属氮化物(例如氮化钛、氮化钽)、金属氧化物、III/V例如GaAs、InP、GaP和GaN,及其组合。
在某些实施方式中,在氧存在下采用氧源、含氧试剂或前体来形成使用本文描述的方法沉积的含硅薄膜或层以提供氧化硅薄膜。术语“氧化硅”薄膜是指由硅和氧组成的化学计量或非化学计量的薄膜。图1提供本文描述的多层装置的实施例。在图1中,在氧存在下采用氧源、含氧试剂或前体来形成使用上述方法沉积的氧化硅薄膜(002)。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。合适的氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)及其组合。在一个具体的实施方式中,氧源气体包含一氧化二氮(N2O)。在这一或其它实施方式中,氧源以约1至约4000标准立方厘米/分钟(sccm)的流速引入反应器中。
在某些实施方式中,含硅薄膜包含硅和氮以提供氮化硅薄膜。术语“氮化硅”薄膜是指由硅和氮组成的化学计量或非化学计量的薄膜。在这些实施方式中,使用本文描述的方法沉积的含硅薄膜在含氮源存在下形成。在一个具体的实施方式中,例如图1所示的实施方式中,含硅薄膜003包含氮化硅且在氮存在下采用氮、含氮试剂或前体使用上述方法来沉积。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。适合的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体及其混合物。在某些实施方式中,含氮源包含以约1至约4000标准立方厘米/分钟(sccm)的流速引入反应器中的氨等离子体或氢/氮等离子体源气体。含氮源可以引入达约0.1到约100秒的时间。在一个具体的实施方式中,采用具有以下式I-III的化合物的氧化硅薄膜的沉积速率为约50纳米每分钟(nm/min)到约500nm/min,其高于在相同条件下采用硅烷的具有类似性质的氧化硅的沉积速率。在另一个具体的实施方式中,氮化硅薄膜的沉积速率为约50纳米每分钟(nm/min)到约500nm/min,其高于在相同条件下采用硅烷的具有类似性质的氮化硅的沉积速率。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氮(N2)、氦(He)、氖(Ne)、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以约10至约2000sccm的流速供应到反应器中大约0.1至1000秒,从而吹扫可能残留在反应器中的未反应物质和任何副产物。
供应前体、含氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间来进行,以改变所获得的薄膜的化学计量组成。
能量供应给含硅前体、含氧源、含氮源、还原剂、其它前体和/或其组合中的至少一种以诱导反应和在衬底上形成含硅薄膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体(helicon plasma)、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,第二RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及到等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接发生)或可选地远程等离子体发生过程(其中等离子体在反应器外发生并供应到反应器中)。
含硅前体可以以多种方式输送到反应室如CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器(turbovaporizer),以使得低挥发性的物质能够定量地输送,这导致可重现的输送和沉积而没有前体的热分解。在液体输送制剂中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物形式使用。因此,在某些实施方式中,当可能在给定的终端应用中是所希望的和有利时,前体制剂可以包括具有适当特性的溶剂成分以在衬底上形成薄膜。
在某些实施方式中,将连接前体罐到反应室的气体管线根据工艺要求加热到一个或多个温度,且至少一种含硅前体的容器保持在一个或多个温度下以进行鼓泡。在其它实施方式中,将包含至少一种含硅前体的溶液注入到保持在一个或多个温度下的蒸发器中用于直接液体注射。
对于其中具有所述式I-III的含硅前体用于进一步包含溶剂的组合物中的那些实施方式,所选择的溶剂或其混合物不与前体反应。组合物中以重量百分比计的溶剂量的范围是从0.5重量%到99.5重量%或者从10重量%到75重量%。在这种或其他实施方式中,所述溶剂具有和前体的沸点(b.p.)相似的沸点,或者溶剂的沸点与前体的沸点之间的差异是40℃或更小、30℃或更小、20℃或更小或者10℃。或者,沸点之间的差异范围具有下面任意一个或多个端点:0、10、20、30或40℃。沸点差异的合适范围的实例包括,但不限于0-40℃、20-30℃或10-30℃。组合物中合适的溶剂的实例包括,但不限于:醚(例如1,4-二氧杂环己烷、二丁基醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(例如苯甲腈)、烷烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或者它们的混合物。
在一个具体的实施方式中,具有式I-III的含硅前体可以包含卤素离子(或卤化物)诸如,举例来说,氯化物和氟化物、溴化物和碘化物,其是500百万分之一(ppm)或更少、100ppm或更少、50ppm或更少、10ppm或更少和5ppm或更少的杂质或是0ppm。已知氯化物作为具有式I-III的含硅前体的分解催化剂以及对电子器件的性能有害的潜在污染物发挥作用。所述具有式I-III的含硅前体的逐渐降解可以直接影响薄膜沉积工艺,使得半导体制造商难以满足薄膜规格。此外,具有式I-III的含硅前体的较高降解速率不利地影响储存期或稳定性,从而难以确保1-2年的储存期。因此,具有式I-III的含硅前体的加速分解提出了与形成这些易燃和/或自燃的气态副产物相关的安全性和性能问题。
基本上不含卤化物的根据本发明的组合物可以通过以下获得:(1)在化学合成过程中减少或消除氯化物源,和/或(2)实施有效纯化工艺以从粗产物去除氯化物,使得最终纯化产物基本上不含氯化物。氯化物源可在合成过程中通过使用不包含卤化物例如氯代乙硅烷、溴代乙硅烷或碘代乙硅烷的试剂从而避免产生包含卤素离子的副产物来减少。此外,上述试剂应基本上不含氯化物杂质,使得产生的粗产物基本上不含氯化物杂质。以类似的方式,所述合成不应使用基于卤化物的溶剂、催化剂,或包含不可接受的高水平的卤化物污染物的溶剂。粗产物也可以通过各种纯化方法处理以使最终产物基本上不含卤化物例如氯化物。这种方法在现有技术中详细描述,且可以包括但不限于纯化工艺例如蒸馏或吸附。蒸馏通常利用沸点的差异将杂质与期望的产物分离。吸附也可以用于利用组分的不同吸附性质以实现分离,使得最终产物基本上不含卤化物。吸附剂(如可商购的MgO-Al2O3掺合物)可用于去除卤化物例如氯化物。
在另一实施方式中,本文描述了用于沉积含硅薄膜的容器,其包含一种或多种具有式I-III的含硅前体。在一个具体的实施方式中,所述容器包含至少一种配备有适当的阀和配件的可加压容器(优选由不锈钢制成),以允许一种或多种前体输送到用于CVD或ALD工艺的反应器。在这种或其它实施方式中,前体在由不锈钢构成的可加压容器中提供,且前体的纯度为98%重量或更高或者99.5%或更高,这适合于大多数的半导体应用。在某些实施方式中,这类容器也可以具有用于混合所述前体和一种或多种另外的前体(如果需要)的装置。在这些实施方式或其它实施方式中,容器的内容物可以与另外的前体预混合。可替代地,含硅前体可以保持在隔开的容器中或在具有用于在储存期间保持所述前体和其它前体隔开的分隔装置的单一容器中。
在本文描述的方法中,应理解本文描述的方法的步骤可以以多种顺序进行,可以连续或同时(例如,在另一步骤的至少一部分期间)进行,及以其组合方式进行。供应前体和含氧源或含氮源气体的相应步骤可以通过改变供应这些物质的持续时间来进行,以改变所获得的含硅薄膜的化学计量组成。在某些实施方式中,例如图1所示的装置,含硅层002和003利用相同的含硅前体(例如本文描述的具有式I-III的化合物)来沉积。含硅层或薄膜002包含硅和氧,其在含氧源存在下形成。含氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。含硅薄膜003包含硅和氮,其利用上述方法在氮存在下使用氮源、含氮试剂或前体来沉积。氧化硅的厚度为1-500010-200050-150050-100050-500而氮化硅的厚度根据层数为1-500010-200050-150050-100050-500一个或多个氧化硅薄膜或层的厚度可以与氮化硅薄膜或层的厚度相同或不同。层的厚度可以例如通过椭率计、折射计或其他工具测量。在一个实施方式中,氧化硅层和氮化硅层的厚度测量的差异(以厚度的+或-百分比测量)为具有一个或多个以下端点的范围:+/-0.001、0.005、0.01、0.05、0.1、0.5、1、2、2.5、5、10、25、50和100%。例如,如果氧化硅层是20纳米和氮化硅层是20.5纳米,则厚度差将是0.025%。在一个具体的实施方式中,氧化硅层和氮化硅层之间的厚度差为约±0.001%到约±10%或为约±0.01%到约±5%。
在某些实施方式中,例如图1所示的装置,使装置经受一个或多个后处理步骤,包括但不限于,在约600℃到约1000℃、或约700℃到1000℃的一个或多个温度下的退火步骤的热处理。后处理步骤可以利用一个或多个以下能量源进行:UV、红外线、等离子体或任何其他的能量源。在其中装置经受后处理步骤例如退火的实施方式中,对于含硅和氧的层(诸如,举例来说,图1中的层002),后处理步骤之前和之后的收缩百分比应为5%或更小、<2%或更小、0.5%或更小或者为零,且对于含硅和氮的层(诸如,举例来说,图1中的层003),后处理步骤之前和之后的收缩百分比应为10%或更小、5%或更小、和3%或更小或者2%。为避免结构破裂和保持低堆叠应力,含硅和氧的薄膜002的应力增加应为50MPa或更小;含硅和氮的薄膜003的应力增加应为300MPa或更小,优选为200MPa或更小。
用于沉积的反应器或沉积室的温度可以在以下端点之一的范围内:环境温度或25℃;400℃;425℃;475℃;500℃;525℃;550℃;575℃;600℃;625℃;650℃;700℃;1000℃及其任何组合。关于这点,用于沉积的反应器或沉积室的温度范围可以为环境温度到1000℃、约400℃到约700℃、约400℃到约600℃、约450℃到550℃、或本文描述的温度端点的任何组合。
反应器或沉积室的压力可以为约0.01Torr到约1000Torr、或约0.1Torr到约100Torr。供应前体、氧源、氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间来进行,以改变所获得的含硅薄膜的化学计量组成。
以下实施例举例说明了本文描述的制备含硅薄膜的方法或包含所述含硅薄膜的装置,而不意图以任何方式限制本发明。本文描述的实施例和实施方式是可以进行的多种实施方式的示例。设想可以制备除明确公开的材料以外的众多材料。也可以使用所述方法的多种其他的构造,且所述方法中使用的材料可以从明确公开的那些材料以外的多种材料中选择。
实施例
通用沉积条件
将含硅薄膜沉积在中等电阻率(8-12Ωcm)的单晶硅芯片衬底上。在某些实施例中,衬底可以暴露于预沉积处理例如,但不限于,等离子体处理、热处理、化学处理、紫外线暴露、电子束暴露和/或其他处理以影响所述薄膜的一种或多种性质。这允许在薄膜沉积之前保持或增强介电性质。
实施例1-9中的沉积使用硅烷或TEOS工艺套件在AppliedMaterials Precision 5000系统上在配备有Astron EX远程等离子体发生器的200mm DXZ室中进行。PECVD室配备有直接液体注射递送能力。除了硅烷,所有前体是液体,其中递送温度取决于前体的沸点。液体前体的流速通常是100-800mg/min,等离子体功率密度是0.75-3.5W/cm2,压力是0.75-10Torr。厚度和632nm处的折射率(RI)通过反射计或椭率计测量。薄膜厚度通常为10到1000nm。硅基薄膜的键合性氢含量(Si-H、C-H和N-H)通过Nicolet传输傅里叶变换红外光谱(FTIR)工具来测量和分析。全部的密度测定利用X射线反射率(XRR)完成。进行X射线光电子光谱(XPS)和二次离子质谱(SIMS)分析以测定薄膜的元素组成。在热H3PO4(160~165℃)中测量湿蚀刻速率(WER)。水银探针用于电性质测量,包括介电常数、泄漏电流和击穿电场。薄膜的应力通过Toho FLX-2320应力工具来测量。将薄膜在1Torr到大气压下在N2气氛(O2<10ppm)中在650~800℃退火1小时;然后分析薄膜收缩、应力偏移、WER、薄膜密度和元素组成。利用Hitachi S-4700扫描电子显微(SEM)系统以2.0nm的分辨率观察多层结构和界面。
利用实验设计(DOE)方法筛选硅前体。用于含硅和氧的薄膜的实验设计包括:前体流速为100-800mg/min;O2(或N2O)流速为100sccm-4000sccm,压力为0.75-8torr;RF功率(13.56MHz)为400-1000W;低频(LF)功率为0-100W;和沉积温度为150-550℃。用于含硅和氮的薄膜的实验设计包括:前体流速为100-800mg/min;NH3流速为100sccm-4000sccm,压力为0.75-8torr;RF功率(13.56MHz)为400-1000W;低频(LF)功率为0-100W;和沉积温度为150-550℃。DOE实验用于测定什么工艺参数产生具有高密度和良好热稳定性的最优薄膜。
实施例1:利用三甲硅烷基胺(TSA)和一氧化二氮(N2O)沉积含硅和氧的薄膜
利用三甲硅烷基胺(TSA)作为前体将多个氧化硅薄膜沉积在6英寸和8英寸硅衬底上以测试薄膜密度、应力、热稳定性和湿蚀刻速率。薄膜的组成通过XPS测量并显示所述薄膜由SiO组成,其中硅和氧的量的原子百分比根据薄膜而改变。
在通过TSA沉积的氧化物薄膜中,用于利用TSA沉积显示最有利的薄膜性质的含硅薄膜的工艺条件如下:TSA流速(200-300mg/min),N2O流速(2000~4000sccm),He(1000sccm),压力(4~6torr),RF(600~900W)和温度(425℃)。表1显示用于利用TSA进行选择的氧化硅沉积的沉积条件:
表1
沉积速率是126nm/min。原样沉积的薄膜应力是-283MPa。4.20的介电常数和2.24g/cm3的密度表明它是高质量氧化硅薄膜。XPS元素分析表明Si:O比是1:2。如图3所示的泄露和击穿测量显示泄漏电流低于10-9A/cm2,和击穿电场大于8MV/cm,表明优异的绝缘性能。氧化硅薄膜显示优异的热稳定性。在N2气氛中800℃退火1小时后,薄膜收缩是可忽略的,或基本上为零,且应力偏移为约50MPa。
实施例2:利用三甲硅烷基胺(TSA)和一氧化二氮(N2O)沉积含硅和氧的薄膜
利用三甲硅烷基胺(TSA)作为前体将多个氧化硅薄膜沉积在6英寸和8英寸硅衬底上以测试薄膜密度、应力、热稳定性和湿蚀刻速率。用于沉积薄膜的参数提供于下表2中。
表2
沉积速率是100nm/min。原样沉积的薄膜应力是-313MPa。4.14的介电常数和2.36g/cm3的密度表明它是高质量氧化硅薄膜。XPS元素分析表明Si:O比是1:2。如图3所示的泄露和击穿测量显示泄漏电流低于10-9A/cm2,和击穿电场大于8MV/cm,表明优异的绝缘性能。
氧化硅薄膜显示优异的热稳定性。在N2气氛中800℃退火1小时后,薄膜收缩是可忽略的且应力偏移为约50MPa。实施例1和实施例2中的两种氧化硅薄膜还显示在160~165℃下的热H3PO4中基本上为零的湿蚀刻速率。
实施例3:利用三甲硅烷基胺(TSA)和氨(NH3)沉积氮化硅薄膜
利用三甲硅烷基胺(TSA)作为前体将多个氮化硅薄膜沉积在6英寸和8英寸硅衬底上以测试薄膜密度、应力、热稳定性和湿蚀刻速率。薄膜的组成通过XPS测量并显示所述薄膜由氮化硅组成,其中硅和氮的量的原子百分比根据薄膜而改变。
在通过TSA沉积的氮化物薄膜中,用于利用TSA沉积显示最有利的薄膜性质的含硅薄膜的工艺条件如下:TSA流速(150mg/min),NH3流速(600~1000sccm),He(1000sccm),压力(4~8torr),RF(600~900W)和温度(425℃)。表3显示用于利用TSA进行选择的氮化硅沉积的沉积条件。沉积速率是298nm/min。
表3
通过测量在N2气氛中800℃退火1小时之前和之后的薄膜厚度和应力,发现这些氮化硅薄膜是稳定和耐高温处理的,如下表4所示。
表4
原样沉积的应力(MPa) 退火后应力(MPa) Δ应力(MPa) 厚度收缩
108 265 157 1.4%
原样沉积的薄膜的应力是轻微拉伸的,其抵消了氧化物层的压缩应力。退火后薄膜的应力增加了157MPa且薄膜收缩为约1.4%,这两者确保了氮化硅薄膜良好的热稳定性。原样沉积的和退火后的薄膜在160~165℃下的热H3PO4中的湿蚀刻速率分别为~120和~30 nm/min。氮化物薄膜的蚀刻比氧化物薄膜快得多,显示良好的蚀刻选择性。
实施例4:利用三甲硅烷基胺(TSA)沉积氧化硅/氮化硅薄膜堆叠
利用形成氧化硅层的前体三甲硅烷基胺(TSA)+一氧化二氮(N2O)和形成氮化硅层的TSA+氨(NH3)将包含25nm氧化硅和25nm氮化硅薄膜的堆叠沉积在200mm硅衬底上,以提供交替顺序的多层堆叠。单层氧化物薄膜应力是-294MPa和单层氮化物薄膜应力是231MPa。用于氧化硅薄膜和氮化硅薄膜的沉积条件列于表5中。
表5
沉积8、16、32、64和128个堆叠层后测量堆叠应力。应力随着堆叠厚度略微增加,如表6所示。
表6
#堆叠 薄膜应力(MPa)
8个双层堆叠(总共16层) -66
16个双层堆叠(总共32层) -58
32个双层堆叠(总共64层) -57
64个双层堆叠(总共128层) -36
128个双层堆叠(总共256层) -51
图4提供128个堆叠的交替氧化硅和氮化硅层的扫描电子显微(SEM)图像,显示氧化物和氮化物层之间的界面是清晰和明显的。然后将128个堆叠的多层结构浸入热(160~165℃)H3PO4浴中90秒。通过SEM以500nm分辨率拍摄热H3PO4浴后的多层结构的图像,并显示为图5a和5b,表明优异的蚀刻选择性及氧化物和氮化物层之间的清晰界面。
实施例5:利用三甲硅烷基胺(TSA)沉积和热退火氧化硅/氮化硅薄膜堆叠
利用用于氧化硅层的TSA+一氧化二氮(N2O)和用于氮化硅层的TSA+氨(NH3)将包含25nm氧化硅和25nm氮化硅薄膜的交替层的128个堆叠层结构沉积在150mm硅衬底上。测量原样沉积的堆叠的应力,并将结果提供于表7中。如表7所示,多层结构表现轻微的压缩应力,且应力随堆叠厚度略微增加。将堆叠装入管式炉中并在N2气氛中在800℃下退火1小时。退火后堆叠的应力变化为约115MPa且提供于表7中。
表7
实施例6:利用三甲硅烷基胺(TSA)沉积和热退火具有不同厚度的氧化硅/氮化硅薄膜堆叠
利用用于氧化硅层的TSA+一氧化二氮(N2O)和用于氮化硅层的TSA+氨(NH3)将包含20nm氧化硅和30nm氮化硅薄膜的交替层的64个堆叠层结构沉积在150mm硅衬底上。测量原样沉积的堆叠和退火后堆叠的应力,并将结果提供于表8。由于氮化物薄膜的应力高于氧化物薄膜,因此具有较厚氮化物层的该堆叠的应力高于实施例5中具有25nm氧化物/25nm氮化物的堆叠。
表8
实施例7:沉积和热退火TEOS氧化硅/TSA氮化硅薄膜堆叠
沉积了64个堆叠层的结构,其包含利用四乙基原硅酸酯(TEOS)沉积的20nm氧化硅薄膜和利用三甲硅烷基胺(TSA)沉积的30nm氮化硅薄膜的交替层。测量原样沉积的堆叠和退火后堆叠的应力,并将结果提供于表9中。原样沉积的TEOS氧化物薄膜膜显示-97MPa的轻微压缩应力,且其在800℃下退火后降低至-168MPa。因此,TEOS氧化物应力的降低补偿了TSA氮化物应力的增加。因此,堆叠的应力没有大量变化。
表9
实施例8:沉积和热退火基于硅烷的氮化硅薄膜
将基于硅烷的氮化物沉积在200mm硅晶片上。优选的沉积条件包括:硅烷流速为50-100sccm;NH3流速为100sccm-1000sccm,N2流速为500-2000sccm,压力为2-4torr;RF功率(13.56MHz)为200-600W;和沉积温度为400-500℃。该薄膜的应力、密度和厚度收缩列于表10中。
表10
氮化硅薄膜密度受到拉伸应力要求的影响。基于硅烷的氮化物薄膜显示与TSA氮化物类似的密度,拉伸应力略有不同。然而,基于硅烷的氮化物显示大得多的薄膜收缩;因此应力变化可以达到1GPa或更高,暗示随着堆叠数目增加,例如大于50个堆叠,基于硅烷的氮化物可能不适于V-NAND应用。
实施例9:氮化硅和硅衬底之间的界面处氧化物层中氮浓度的降低
用表5中的氧化物沉积条件将氧化硅薄膜沉积在硅晶片上。氧化硅薄膜的氮(N)含量通过动态SIMS检测。氧化硅薄膜中的N含量很低,为1E20个原子/cc。然而,氧化物薄膜和硅衬底之间的界面处N浓度急剧增加,其中N浓度达到1E22个原子/cc,其可能改变蚀刻速率。
为降低界面处的N浓度,将氧化硅沉积方案分成两个步骤。在第一步骤中,N2O以4000sccm流动4~5秒以完全氧化前体,以及在第二步骤中,其降低为2000sccm。通过动态SIMS评价氮含量。整个薄膜的N水平为1E20个原子/cc水平;界面处没有观察到急剧增加。
利用表5中修改的氧化物方案和氮化物方案沉积氧化硅/氮化硅堆叠结构。各层为25~30nm厚。通过N浓度的动态SIMS分析,N浓度谱图显示于图6中。可见在氧化硅层中,N含量水平为1E20个原子/cc;而在氮化硅层中,N含量水平为4E21个原子/cc。氧化物层和氮化物层之间的清晰界面显示于N浓度谱中。
实施例10:利用三甲硅烷基胺(TSA)在300mm晶片上沉积氮化硅薄膜
利用TSA在300毫米(mm)硅晶片上沉积氮化硅薄膜采用AppliedMaterials Producer SE系统在配备有Astron EM远程等离子体发生器的300mm DXZ室中进行。PECVD室配备有直接液体注射递送能力。厚度和632nm处的折射率(RI)通过反射计和椭率计测量。薄膜厚度通常为100到1000nm。全部的密度测定利用X射线反射率(XRR)完成。进行X射线光电子光谱(XPS)和二次离子质谱(SIMS)分析以测定薄膜的元素组成。利用TSA沉积氮化硅薄膜的优选沉积条件如下:TSA流速(500~700mg/min),NH3流速(4000~5000sccm),He(4000~5000sccm),压力(4~8torr),RF(1000~1200W),和温度(40~500℃)。
表11
沉积速率高达360nm/min。原样沉积的薄膜显示出轻微拉伸应力:0~40MPa。由于高薄膜密度(>2.5g/cm3),800℃退火1小时后的薄膜收缩为~0.9%。应力变化在200~250MPa范围内。

Claims (31)

1.一种用于将包含氧化硅层和氮化硅层的多个含硅层沉积在衬底的至少一个表面上的方法,所述方法包括:
向反应室提供所述衬底的所述至少一个表面;
向所述反应室中引入至少一种选自具有式I-III的化合物的硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C2-C12烯基;直链或支链C2-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入选自含氧源和含氮源的源;和
经由气相沉积工艺将多层堆叠沉积在所述衬底的所述至少一个表面上,其中所述气相沉积工艺选自化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、循环化学气相沉积(CCVD)、等离子体增强循环化学气相沉积(PECCVD)、原子层沉积(ALD)和等离子体增强原子层沉积(PEALD);优选的是等离子体增强化学气相沉积(PECVD);
其中所述至少一个氧化硅层具有选自以下的一个或多个性质:密度为约1.9克每立方厘米(g/cm3或g/cc)或更大;氢含量为4x1022个原子/cm3或更小;应力范围为约-300MPa至-100MPa;介电常数为4.5或更低;在8MV/cm或更高的薄膜击穿电场下的泄漏电流为10-9A/cm2或更低;和其组合;
其中所述至少一个氮化硅层具有一个或多个以下性质:密度为约2.2g/cm3或更大;氢含量为约4x1022个原子/cm3或更低;应力范围为约50MPa至约300MPa;在约700到约1000℃下的至少一种热处理后应力变化为300MPa或更小;在热H3PO4中的湿蚀刻速率为10nm/min或更大;和其组合。
2.根据权利要求1所述的方法,其中所述氧化硅层具有至少一个或多个以下性质:密度为约2.2g/cm3或更大;应力范围为约-300MPa至约-100MPa;在最高达800℃热处理后的应力偏移为50MPa或更小;和其组合。
3.根据权利要求1所述的方法,其中所述氮化硅层具有至少一个或多个以下性质:密度为约2.3g/cm3或更大;应力范围为约50MPa至约300MPa;在最高达800℃热处理后的应力偏移为300MPa或更小;和其组合。
4.根据权利要求1所述的方法,其中所述氧化硅层的沉积速率范围为约50nm/min至约500nm/min。
5.根据权利要求1所述的方法,其中所述含氧源选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
6.根据权利要求1所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体及其混合物。
7.根据权利要求1所述的方法,其中沉积步骤的温度范围为约425℃至约600℃。
8.根据权利要求1所述的方法,其中所述沉积工艺为等离子体增强化学气相沉积(PECVD)或PECCVD。
9.根据权利要求1所述的方法,其中所述硅前体包含三甲硅烷基胺。
10.根据权利要求1所述的方法,其中所述含硅层在最高达1000℃的温度下经受热退火。
11.根据权利要求1所述的方法,其中所述氧化硅层在最高达800℃的热处理后具有基本上零收缩或者约3%或更小或2%或更小或1%或更小的收缩和约50MPa的应力变化。
12.根据权利要求1所述的方法,其中所述氧化硅层在热H3PO4中具有基本上零湿蚀刻速率。
13.根据权利要求1所述的方法,其中所述氮化硅层具有50nm/min或更高的生长速率。
14.根据权利要求1所述的方法,其中所述氮化硅层在最高达800℃的热处理后具有最小收缩和小于300MPa的应力变化。
15.根据权利要求1所述的方法,其中所述堆叠的含硅薄膜的数目包含交替的氧化硅和氮化硅,其中氧化硅层的层数为约48到约128层和氮化硅层的数目为约48到约128层;各氧化硅层具有相同的厚度和各氮化硅具有相同的厚度。
16.一种用于在半导体衬底的至少一个表面上形成包含多个含硅层的装置的方法,其中所述含硅层选自氧化硅层和氮化硅层,所述方法包括:
提供所述半导体衬底的所述至少一个表面;
引入至少一种选自具有式I-III的化合物的含硅前体:
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应室中引入含氮源;
经由气相沉积工艺沉积所述氮化硅层;
引入选自下组的至少一种含硅前体:硅烷、乙硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、四甲氧基硅烷、三甲氧基硅烷、二叔丁氧基硅烷(DTBOS)、二叔戊氧基硅烷(DTPOS)、二乙基硅烷、三乙基硅烷、二乙氧基甲基硅烷、二甲氧基甲基硅烷、二(叔)丁氧基甲基硅烷、甲基三乙酰氧基硅烷、二甲基乙酰氧基硅烷、二甲基二乙酰氧基硅烷、二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、甲基三乙氧基硅烷、新己基三乙氧基硅烷、新戊基三甲氧基硅烷、二乙酰氧基甲基硅烷、苯基二甲氧基硅烷、苯基二乙氧基硅烷、苯基三乙氧基硅烷、苯基三甲氧基硅烷、苯基甲基二甲氧基硅烷、1,3,5,7-四甲基四环硅氧烷、八甲基四环硅氧烷、1,1,3,3-四甲基二硅氧烷、1-新己基-1,3,5,7-四甲基环四硅氧烷、六甲基二硅氧烷、1,3-二甲基-1-乙酰氧基-3-乙氧基二硅氧烷、1,2-二甲基-1,2-二乙酰氧基-1,2-二乙氧基乙硅烷、1,3-二甲基-1,3-二乙氧基二硅氧烷、1,3-二甲基-1,3-二乙酰氧基二硅氧烷、1,2-二甲基-1,1,2,2-四乙酰氧基乙硅烷、1,2-二甲基-1,1,2,2-四乙氧基乙硅烷、1,3-二甲基-1-乙酰氧基-3-乙氧基二硅氧烷、1,2-二甲基-1-乙酰氧基-2-乙氧基乙硅烷、甲基乙酰氧基(叔)丁氧基硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、六甲基乙硅烷、四甲基乙硅烷、和二甲基乙硅烷、六甲基二硅氧烷(HMDSO)、八甲基环四硅氧烷(OMCTS)和四甲基环四硅氧烷(TMCTS)、双(三乙氧基甲硅烷基)甲烷、双(三乙氧基甲硅烷基)乙烷、双(三甲氧基甲硅烷基)甲烷、双(三甲氧基甲硅烷基)乙烷、双(二乙氧基甲基甲硅烷基)甲烷、双(二乙氧基甲基甲硅烷基)乙烷、双(甲基二乙氧基甲硅烷基)甲烷、(二乙氧基甲基甲硅烷基)(二乙氧基甲硅烷基)甲烷及其组合,
向所述反应室中引入含氧源;和
经由气相沉积工艺沉积所述氧化硅层。
17.根据权利要求16所述的方法,其中所述氧化硅层具有至少一个或多个以下性质:密度为约2.2g/cm3或更大;应力范围为约-300MPa至约-100MPa;在最高达800℃热处理后的应力偏移为50MPa或更小;和其组合。
18.根据权利要求16所述的方法,其中所述氮化硅层具有至少一个或多个以下性质:密度为约2.3g/cm3或更大;应力范围为约50MPa至约300MPa;在最高达800℃热处理后的应力偏移为300MPa或更小;和其组合。
19.根据权利要求16所述的方法,其中所述氧化硅层的沉积速率范围为约50nm/min至约500nm/min。
20.根据权利要求16所述的方法,其中所述含氧源选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
21.根据权利要求16所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体及其混合物。
22.根据权利要求16所述的方法,其中所述沉积步骤的温度范围为约425℃至约600℃。
23.根据权利要求16所述的方法,其中所述沉积工艺为等离子体增强化学气相沉积(PECVD)或PECCVD。
24.根据权利要求16所述的方法,其中所述硅前体包含三甲硅烷基胺。
25.根据权利要求16所述的方法,其中所述含硅层在最高达1000℃的温度下经受热退火。
26.根据权利要求16所述的方法,其中所述氧化硅层在最高达800℃的热退火后具有基本上零收缩或者约3%或更小或2%或更小或1%或更小的收缩和约50MPa的应力变化。
27.根据权利要求16所述的方法,其中所述氧化硅层在热H3PO4中具有基本上零湿蚀刻速率。
28.根据权利要求16所述的方法,其中所述氮化硅层具有50nm/min或更高的生长速率。
29.根据权利要求16所述的方法,其中所述氮化硅层在最高达800℃的热处理后具有最小收缩和小于300MPa的应力变化。
30.根据权利要求16所述的方法,其中所述堆叠的含硅薄膜的数目包含交替的氧化硅和氮化硅,其中氧化硅层的层数为约48到约128层和氮化硅层的数目为约48到约128层;各氧化硅层具有相同的厚度和各氮化硅具有相同的厚度。
31.用于沉积多个含硅薄膜的组合物,其包含选自具有式I-III的化合物的含硅前体,
其中R各自独立地选自氢;直链或支链C2-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;和R1各自独立地选自直链或支链C1-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;并且其中所述化合物基本上不含一种或多种选自卤化物的杂质。
CN201610061517.0A 2015-01-29 2016-01-28 制造3d装置的方法和前体 Active CN105845549B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562109381P 2015-01-29 2015-01-29
US62/109,381 2015-01-29
US201562183985P 2015-06-24 2015-06-24
US62/183,985 2015-06-24
US14/871,233 2015-09-30
US14/871,233 US10354860B2 (en) 2015-01-29 2015-09-30 Method and precursors for manufacturing 3D devices

Publications (2)

Publication Number Publication Date
CN105845549A true CN105845549A (zh) 2016-08-10
CN105845549B CN105845549B (zh) 2020-03-03

Family

ID=55272374

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610061517.0A Active CN105845549B (zh) 2015-01-29 2016-01-28 制造3d装置的方法和前体

Country Status (7)

Country Link
US (2) US10354860B2 (zh)
EP (1) EP3051001A3 (zh)
JP (2) JP6662648B2 (zh)
KR (2) KR101921192B1 (zh)
CN (1) CN105845549B (zh)
SG (2) SG10201800673TA (zh)
TW (2) TWI664311B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN107895724A (zh) * 2017-11-13 2018-04-10 中国科学院微电子研究所 一种三维存储器及其制作方法
CN110028971A (zh) * 2017-12-28 2019-07-19 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN110176459A (zh) * 2019-06-19 2019-08-27 英特尔半导体(大连)有限公司 用于存储器的沟道柱及其制造方法
CN110536893A (zh) * 2017-04-20 2019-12-03 Dnf有限公司 乙硅烷基胺化合物,其制备方法,以及包括其的含硅薄膜沉积组合物
CN110892504A (zh) * 2017-07-06 2020-03-17 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
CN112670167A (zh) * 2020-12-29 2021-04-16 光华临港工程应用技术研发(上海)有限公司 制备氧化硅和氮化硅超晶格结构的方法
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板
CN113745230A (zh) * 2020-05-29 2021-12-03 美光科技公司 使用可在不同温度下移除的材料形成电子装置的方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102337153B1 (ko) * 2016-06-28 2021-12-07 어플라이드 머티어리얼스, 인코포레이티드 3d nand 메모리 디바이스들을 위한 cvd 기반 산화물-금속 다중 구조물
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10332839B2 (en) * 2017-01-06 2019-06-25 United Microelectronics Corp. Interconnect structure and fabricating method thereof
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
SG10201903201XA (en) * 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102346832B1 (ko) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 실리콘 질화막 식각용 조성물 및 이를 이용한 식각 방법
KR20210027386A (ko) * 2018-07-31 2021-03-10 어플라이드 머티어리얼스, 인코포레이티드 3d nand를 위한 on 스택 오버레이 개선
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP6903040B2 (ja) * 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102492488B1 (ko) 2018-10-22 2023-01-27 현대모비스 주식회사 차량의 제동 제어 장치 및 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200115061A (ko) * 2019-03-27 2020-10-07 고려대학교 세종산학협력단 박막 트랜지스터 및 박막 트랜지스터의 제조방법
US11189635B2 (en) * 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
WO2021026283A1 (en) * 2019-08-07 2021-02-11 Applied Materials, Inc. Modified stacks for 3d nand
CN114616652A (zh) * 2019-09-13 2022-06-10 弗萨姆材料美国有限责任公司 单烷氧基硅烷及由其制备的致密有机二氧化硅膜
US20210134592A1 (en) * 2019-11-01 2021-05-06 Applied Materials, Inc. Surface encasing material layer
KR20210066989A (ko) * 2019-11-28 2021-06-08 삼성전자주식회사 3차원 반도체 메모리 장치
US11740211B2 (en) * 2020-01-31 2023-08-29 Waters Technologies Corporation LC/MS adduct mitigation by vapor deposition coated surfaces
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
US20220415651A1 (en) * 2021-06-29 2022-12-29 Applied Materials, Inc. Methods Of Forming Memory Device With Reduced Resistivity
WO2023086905A1 (en) * 2021-11-15 2023-05-19 Versum Materials Us, Llc Multilayered silicon nitride film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715376A (en) * 2005-07-08 2007-04-16 Aviza Tech Inc Method for depositing silicon-containing films
TW200828417A (en) * 2006-08-03 2008-07-01 Aviza Tech Inc Radical assisted batch film deposition
CN102827198A (zh) * 2011-05-24 2012-12-19 气体产品与化学公司 有机氨基硅烷前体及其制备和使用方法
CN104271797A (zh) * 2012-03-09 2015-01-07 气体产品与化学公司 显示器件的阻隔材料

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3094688B2 (ja) 1992-10-12 2000-10-03 富士電機株式会社 絶縁膜の製造方法
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
KR20010080412A (ko) * 1998-11-12 2001-08-22 조이스 브린톤 향상된 스텝 커버리지를 갖는 확산 장벽 물질
KR100752682B1 (ko) * 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
DE102007009914B4 (de) 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101532366B1 (ko) 2009-02-25 2015-07-01 삼성전자주식회사 반도체 기억 소자
KR101603731B1 (ko) 2009-09-29 2016-03-16 삼성전자주식회사 버티칼 낸드 전하 트랩 플래시 메모리 디바이스 및 제조방법
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
JP5495940B2 (ja) 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
KR20110132865A (ko) 2010-06-03 2011-12-09 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101793047B1 (ko) 2010-08-03 2017-11-03 삼성디스플레이 주식회사 플렉서블 디스플레이 및 이의 제조 방법
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US20130220410A1 (en) 2011-09-07 2013-08-29 Air Products And Chemicals, Inc. Precursors for Photovoltaic Passivation
US8933502B2 (en) 2011-11-21 2015-01-13 Sandisk Technologies Inc. 3D non-volatile memory with metal silicide interconnect
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US9012322B2 (en) * 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
WO2015047914A1 (en) * 2013-09-27 2015-04-02 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715376A (en) * 2005-07-08 2007-04-16 Aviza Tech Inc Method for depositing silicon-containing films
TW200828417A (en) * 2006-08-03 2008-07-01 Aviza Tech Inc Radical assisted batch film deposition
CN102827198A (zh) * 2011-05-24 2012-12-19 气体产品与化学公司 有机氨基硅烷前体及其制备和使用方法
CN104271797A (zh) * 2012-03-09 2015-01-07 气体产品与化学公司 显示器件的阻隔材料

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110536893B (zh) * 2017-04-20 2023-04-07 Dnf有限公司 乙硅烷基胺化合物,其制备方法,以及包括其的含硅薄膜沉积组合物
CN110536893A (zh) * 2017-04-20 2019-12-03 Dnf有限公司 乙硅烷基胺化合物,其制备方法,以及包括其的含硅薄膜沉积组合物
CN110892504B (zh) * 2017-07-06 2023-10-13 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
CN110892504A (zh) * 2017-07-06 2020-03-17 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN107895724A (zh) * 2017-11-13 2018-04-10 中国科学院微电子研究所 一种三维存储器及其制作方法
CN107895724B (zh) * 2017-11-13 2021-01-22 中国科学院微电子研究所 一种三维存储器及其制作方法
CN110028971B (zh) * 2017-12-28 2021-11-09 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN110028971A (zh) * 2017-12-28 2019-07-19 Oci有限公司 蚀刻组合物及利用其的蚀刻方法
CN110176459A (zh) * 2019-06-19 2019-08-27 英特尔半导体(大连)有限公司 用于存储器的沟道柱及其制造方法
CN113745230A (zh) * 2020-05-29 2021-12-03 美光科技公司 使用可在不同温度下移除的材料形成电子装置的方法
CN112670167A (zh) * 2020-12-29 2021-04-16 光华临港工程应用技术研发(上海)有限公司 制备氧化硅和氮化硅超晶格结构的方法
WO2022141355A1 (zh) * 2020-12-29 2022-07-07 光华临港工程应用技术研发(上海)有限公司 制备氧化硅和氮化硅超晶格结构的方法
CN112885713A (zh) * 2021-01-29 2021-06-01 合肥维信诺科技有限公司 改善膜质的方法和显示面板

Also Published As

Publication number Publication date
KR20180125928A (ko) 2018-11-26
US10985013B2 (en) 2021-04-20
TW201627519A (zh) 2016-08-01
JP6662648B2 (ja) 2020-03-11
SG10201600366WA (en) 2016-08-30
TW201819669A (zh) 2018-06-01
JP2016149537A (ja) 2016-08-18
EP3051001A3 (en) 2016-11-09
KR102243988B1 (ko) 2021-04-22
US20190304775A1 (en) 2019-10-03
SG10201800673TA (en) 2018-03-28
EP3051001A2 (en) 2016-08-03
KR20160093551A (ko) 2016-08-08
US20160225616A1 (en) 2016-08-04
KR101921192B1 (ko) 2018-11-22
TWI664311B (zh) 2019-07-01
CN105845549B (zh) 2020-03-03
TWI617689B (zh) 2018-03-11
JP2018133590A (ja) 2018-08-23
US10354860B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
CN105845549A (zh) 制造3d装置的方法和前体
US11742200B2 (en) Composition and methods using same for carbon doped silicon containing films
CN107429391A (zh) 组合物和使用所述组合物沉积含硅膜的方法
EP3620549B1 (en) Methods for making silicon and nitrogen containing films
CN107406978A (zh) 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
KR20210055098A (ko) 실리콘 및 질소 함유 필름을 제조하는 방법
KR20240054222A (ko) 실리콘 및 붕소를 포함하는 필름을 위한 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20170602

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant