CN110892504A - 形成多个沉积半导体层的堆叠结构的方法 - Google Patents

形成多个沉积半导体层的堆叠结构的方法 Download PDF

Info

Publication number
CN110892504A
CN110892504A CN201880045332.8A CN201880045332A CN110892504A CN 110892504 A CN110892504 A CN 110892504A CN 201880045332 A CN201880045332 A CN 201880045332A CN 110892504 A CN110892504 A CN 110892504A
Authority
CN
China
Prior art keywords
layer
silicon
depositing
substrate
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880045332.8A
Other languages
English (en)
Other versions
CN110892504B (zh
Inventor
缪丽妍
殷正操
韩新海
林龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202311224892.9A priority Critical patent/CN117238918A/zh
Publication of CN110892504A publication Critical patent/CN110892504A/zh
Application granted granted Critical
Publication of CN110892504B publication Critical patent/CN110892504B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/164Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using vacuum deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本技术的实施方式可包括形成半导体层的堆叠结构的方法。所述方法可包括在基板上沉积第一氧化硅层。所述方法还可包括在第一氧化硅层上沉积第一硅层。所述方法可进一步包括在第一硅层上沉积第一氮化硅层。沉积第一氮化硅层或应力层可包括减小在第一硅层、第一氧化硅层或基板中的至少一个中的应力。另外,所述方法可包括在第一氮化硅层上沉积第二硅层。操作可形成半导体层的堆叠结构,其中所述堆叠结构包括第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。

Description

形成多个沉积半导体层的堆叠结构的方法
相关申请的交叉引用
本申请要求由Miao等人于2017年7月6日递交的名称为“METHODS OF FORMING ASTACK OF MULTIPLE DEPOSITED SEMICONDUCTOR LAYERS”的美国临时申请第62/529,207号的权益,该临时申请的全部内容出于所有目的通过引用的方式并入在此。
技术领域
本技术的实施方式涉及半导体处理领域,包括沉积技术。
背景技术
通过在基板表面上产生经复杂图案化的材料层的工艺,使集成电路成为可能。在基板上产生图案化的材料需要用于沉积待图案化的材料的受控方法。使用物理、化学和等离子体增强式沉积技术在基板上沉积不同的材料。通常,这些层应该跨基板表面均匀且平滑地沉积。另外,不同的材料具有不同的特性。不同材料的许多层可带来对层的堆叠结构或基板本身的不同影响。这些不同影响可改变集成电路和其他半导体装置的性能和可靠性。随着半导体装置的尺寸缩小,沉积的层通常具有更严格的均匀性和粗糙度要求。因此,需要可用于生产高质量装置和结构的改善的系统和方法。通过本技术来解决这些和其他的需求。
发明内容
随着半导体装置变小,图案化这些装置可能变得更具挑战性。更小的特征可能更难以限定。这可能是由于尺寸减小或性能、可靠性和制造产量所需的更严格公差。诸如3DNAND、垂直NMOS和垂直PMOS的结构可跨晶片的大部分具有不同半导体材料的薄层。这些层应该均匀并且具有最小的粗糙度。下文所述的方法可通过管理由不同的材料层造成的应力,来对半导体材料的多个层部分地提供改善的沉积处理。
本技术的实施方式可包括一种形成半导体层的堆叠结构的方法。所述方法可包括在基板上沉积第一氧化硅层。所述方法还可包括在第一氧化硅层上沉积第一硅层。所述方法可包括在第一硅层上沉积第一氮化硅层。所述方法可进一步包括在第一氮化硅层上沉积第二硅层。另外,所述方法可包括在与具有第一氧化硅层的基板的一侧相对的基板的一侧上沉积应力层。操作可形成半导体层的堆叠结构,其中所述堆叠结构包括第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。
本技术的实施方式可包括一种形成半导体层的堆叠结构的方法。所述方法可包括在基板上沉积第一氧化硅层。所述方法还可包括在第一氧化硅层上沉积第一硅层。所述方法可进一步包括在第一硅层上沉积第一氮化硅层。沉积第一氮化硅层可包括使包括氦和硅烷或乙硅烷的气体流动通过等离子体,以形成等离子体流出物。可用RF功率来维持等离子体。沉积第一氮化硅层可包括减小在第一硅层、第一氧化硅层或基板中的至少一个中的应力。另外,所述方法可包括在第一氮化硅层上沉积第二硅层。操作可形成半导体层的堆叠结构,其中所述堆叠结构包括第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。
实施方式可包括一种管理在半导体基板上的氮化硅层中的应力的方法。所述方法可包括确定在氮化硅层中的目标应力水平。所述方法还可包括使用校准曲线来确定氦的流率和RF功率,以达到目标应力水平。所述方法可进一步包括在具有RF功率的情况下使氦(以所述流率)和硅烷或乙硅烷流动通过等离子体。另外,所述方法可包括在半导体基板上沉积氮化硅层。
附图简要说明
图1示出根据本技术的实施方式的基板上的半导体层的堆叠结构。
图2示出根据本技术的实施方式的基板上的半导体层的堆叠结构。
图3示出根据本技术的实施方式的在基板的背侧上具有氮化硅的基板上的半导体层的堆叠结构。
图4示出根据本技术的实施方式的形成半导体层的堆叠结构的方法。
图5示出根据本技术的实施方式的形成半导体层的堆叠结构的方法。
图6示出根据本技术的实施方式的管理在半导体基板上的氮化硅层中的应力的方法。
图7示出根据本技术的实施方式的应力与氦流量的关系曲线的图。
图8示出根据本技术的实施方式的应力与RF功率的关系曲线的图。
图9示出根据本技术的实施方式的应力与RF功率的关系曲线的图。
图10A、图10B和图10C示出根据本技术的实施方式的半导体层的扫描电子显微镜(SEM)图像。
图11A、图11B和图11C示出根据本技术的实施方式的半导体层的SEM图像。
图12示出根据本技术的示例性处理系统的俯视平面图。
图13示出根据本技术的示例性处理腔室的示意性横截面图。
图14示出根据本技术的实施方式的基板处理腔室的示意性横截面图。
图15示出根据本技术的实施方式的示例性基板处理系统的俯视图。
具体实施方式
氧化硅(silicon oxide)、多晶硅(polysilicon)(或非晶硅,掺杂或未掺杂的)、氮化硅(silicon nitride)和多晶硅(polysilicon)(或非晶硅)的堆叠结构称为OPNP堆叠结构。这些OPNP堆叠结构可用于3D NAND、垂直NMOS、垂直PMOS和其他半导体装置。图1示出OPNP堆叠结构的实例。堆叠结构可包括基板102,基板102可以是半导体晶片,包括硅晶片。氧化硅层104在基板102的顶部上。在氧化硅层104的顶部上是多晶硅或非晶硅层106。在多晶硅或非晶硅层106的顶部上是氮化硅层108。在氮化硅层108的顶部上是附加的多晶硅或非晶硅层110。
可重复OPNP堆叠结构。例如,图2示出两个OPNP堆叠结构。图2中的层如下:基板202、氧化硅204、多晶硅或非晶硅层206、氮化硅208、多晶硅或非晶硅层210、氧化硅212、多晶硅或非晶硅层214、氮化硅216和多晶硅或非晶硅层218。图2示出两个堆叠结构,但是可使用多于两个OPNP堆叠结构。
OPNP堆叠结构中的这些不同层造成晶片上的应力。在多个OPNP堆叠结构的情况下,加剧这个问题。由于这些应力和其他因素,常规技术导致晶片弯曲,这带来增加的不均匀性和表面粗糙度。增加的不均匀性和表面粗糙度可带来降低的装置性能和可靠性。
本技术的实施方式通过管理晶片中的应力来改善晶片弯曲、应力、均匀性和粗糙度。一些实施方式包括用低压化学气相沉积(LPCVD)来沉积层。其他实施方式包括用等离子体增强化学气相沉积(PECVD)来沉积层。
I.LPCVD
A.概述
可使用低压化学气相沉积(LPCVD)来沉积用于OPNP堆叠结构的层,类似于图1和图2中所示的那些。氧化硅和硅(不论为多晶硅还是非晶硅)层可以是压缩层。氮化硅可以是拉伸层。压缩应力和拉伸应力可能不会抵消并且产生拉伸力。结果是,基板或晶片可能弯曲。为了补偿应力,可使用LPCVD来沉积应力层,所述应力层包括在晶片背侧上的氮化硅层或其他拉伸膜,从而产生在图3中所示的堆叠结构。其他拉伸膜可包括SACVD氧化物和LPCVDSiON。图3具有基板302,基板302具有氧化硅层304、多晶硅或非晶硅层306、氮化硅层308和多晶硅或非晶硅层310的OPNP堆叠结构。在基板302底部是应力层312。
B.方法
如图4所示,本技术的实施方式可包括形成半导体层的堆叠结构的方法400。方法400可包括在基板上沉积第一氧化硅层(方框402)。基板可以是半导体晶片,包括硅晶片。在其他实施方式中,基板可包括晶片和晶片上的附加的层。
可将第一氧化硅层沉积在基板的顶部上。所述氧化硅层可包括二氧化硅。“顶部”涉及被沉积在基板的前侧上的层并且有助于描述图中的层的定向,但技术人员将认识到,“顶部”不一定意味着远离地球的中心,因为可将基板上下颠倒。在实施方式中,可将第一氧化硅层沉积到从100至200埃、从200至300埃、从300至400埃或大于400埃的厚度。例如,可将氧化硅层沉积到300埃的厚度。第一氧化硅层可与基板接触。可通过低压化学气相沉积(LPCVD)来沉积第一氧化硅层。堆叠结构中的所有层可通过LPCVD来沉积,并且可排除包括PECVD的其他沉积工艺。
方法400还可包括在第一氧化硅层上沉积第一硅层(方框404)。第一硅层可与第一氧化硅层接触。可通过LPCVD来沉积第一硅层。第一硅层可包括多晶硅或非晶硅。第一硅层可以是掺杂的或未掺杂的。可通过LPCVD通过添加掺杂剂气体(包括PH3、B2H6或AsH3)进行沉积来原位执行掺杂。在实施方式中,可将第一硅层沉积至100至200埃、200至300埃、300至400埃、或大于400埃的厚度。例如,可将第一硅层沉积至300埃的厚度。
方法400可包括在第一硅层上沉积第一氮化硅层(方框406)。第一氮化硅可以是拉伸层,并且产生拉伸应力。可用低氨流量来沉积第一氮化硅层,以降低拉伸应力。例如,可将氨的流量从约5,500sccm降低到700sccm,以将拉伸强度降低一半。在其他实例中,可将氨的流量降低到500至600sccm、600至700sccm、700至800sccm、800至900sccm或900至1,000sccm的范围。氧化硅层和硅层可以是压缩层。在实施方式中,可将第一氮化硅层沉积至200至300埃、300至400埃、400至500埃、500至600埃、或大于600埃的厚度。例如,可将第一氮化硅层沉积至500埃的厚度。
方法400还可包括在第一氮化硅层上沉积第二硅层(方框408)。第二硅层可与第一氮化硅层接触。可通过LPCVD来沉积第二硅层。第二硅层可以是针对第一硅层所描述的任何材料和厚度。第二硅层可与第一硅层相同或不同。
另外,方法400可包括在相对于具有第一氧化硅层的基板的一侧的基板的一侧上沉积应力层(方框410)。换句话说,当将第一氧化硅层沉积在基板的前侧上时,可将应力层沉积在基板的背侧上。应力层可以是第二氮化硅层或另一拉伸层。在一些实施方式中,应力层可以是压缩层,但是方法可排除拉伸层或压缩层。为了将应力层沉积在基板的背侧上,与常规操作相比,可将基板在腔室中上下颠倒处理。在一些实施方式中,可在用于背侧沉积的专用腔室或处理工具中处理基板。应力层可与基板接触。可通过LPCVD来沉积应力层。可将应力层沉积至针对第一氮化硅层所描述的任何厚度。应力层可具有与第一氮化硅层相同或不同的厚度。在基板的背侧上沉积应力层可抵消由基板的前侧上的层产生的晶片弯曲。例如,基板的前侧上的层可产生拉伸应力。应力层还可以是拉伸的,但是当沉积在背侧上时,可拉基板,以减少由前侧层产生的应力。
沉积应力层可以在基板的特征在于超过阈值的弯曲之后。晶片弯曲可以是未夹持的晶片的中间表面的中心点从所述中间表面到参考平面的偏差。阈值可以是+/-50μm至+/-100μm、+/-100μm至+/-150μm、150μm至200μm、或大于200μm的值。例如,阈值可以是100μm。在沉积应力层之后,基板的特征可在于不超过阈值的弯曲。在一些实施方式中,与沉积应力层之前的弯曲相比,可将晶片弯曲降低100%、90%、80%、70%、60%或50%。在其他实施方式中,与沉积应力层之前相比,沉积应力层可导致基板在相反方向上弯曲。
因为可基于晶片弯曲的阈值来沉积应力层所述晶片弯曲可能不利地影响沉积均匀性或其他性质,所以沉积应力层可发生在沉积任何一个层之后。将沉积应力层的不同可能性通过虚线箭头图示在图4中。例如,沉积第二氮化硅层作为应力层可以在沉积第一氧化硅层之后并且在沉积第一氮化硅层之前。如在此实施方式中所见,可使用“第一”和“第二”来区分层而不指示沉积的顺序。然而,在一些实施方式中,“第一”和“第二”可指示沉积的顺序。例如,沉积应力层可以在沉积第一氮化硅层之后并且在沉积第二硅层之前。在又其他的实施方式中,沉积应力层可以在沉积第二硅层之后并且在于第二硅层上沉积某个层之前。在进一步实施方式中,可在沉积第二硅层之后并且在图案化第二硅层之前沉积应力层。
操作可形成半导体层的堆叠结构,其中堆叠结构包括第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。这个堆叠结构可以是OPNP堆叠结构。在一些实施方式中,可在形成两个、三个、四个或更多个OPNP堆叠结构之前,不沉积应力层。
方法400可进一步包括在第二硅层上沉积第二氧化硅层。方法400还可包括在第二氧化硅层上沉积第三硅层。方法400可附加地包括在第三硅层上沉积第二氮化硅层。此外,方法400可包括在第二氮化硅层上沉积第四硅层。方法400还可包括在先前沉积的第一应力层上沉积第二应力层。第二应力层可以是针对第一应力层而公开的任何材料。沉积第二应力层可以在基板的特征在于超过阈值的弯曲之后。那么,附加层可形成两组OPNP堆叠结构。可沉积甚至更多的层,以形成多组OPNP堆叠结构,多组OPNP堆叠结构可为总共3个、4个、5个、6个、7个、8个、9个、10个或大于10个。在一些实施方式中,八个OPNP堆叠结构可具有约1.2μm的厚度。
方法400可包括在处理工具的第一腔室中沉积第一氧化硅层、第一氮化硅层和应力层。另外,方法可包括在处理工具的第二腔室中沉积第一硅层和第二硅层。换句话说,沉积氧化硅层和氮化硅层可以在处理工具的一个腔室中,而沉积硅层可以在处理工具的另一腔室中。方法400可包括从处理工具移除基板。处理工具可以是应用
Figure BDA0002357081490000071
Figure BDA0002357081490000072
系统。在移除之后,基板可以在大气压力下。可将基板传送到前开式标准舱(FOUP)中。然后,半导体层堆叠结构可经历包括光刻和蚀刻工艺的图案化工艺。
在沉积第二硅层之后,在实施方式中,基板和层可具有小于3%、小于2%或小于1%的均匀性标准差。晶片基板弯曲的大小可以是小于150μm、小于100μm、或小于50μm,或是压缩的或是拉伸的。在实施方式中,粘附力可以优于5J/m2、6J/m2、7J/m2、8J/m2、9J/m2或10J/m2。如由原子力显微镜(AFM)测量的,粗糙度可以优于2nm RMS、1.5nm RMS或1nm RMS。氮化硅可以在热磷酸氮化物浴中具有高湿速率(wet rate)(例如,大于200埃/分钟、300埃/分钟或400埃/分钟),以在后续工艺中(如果需要)能够选择性地部分地移除一些氮化硅层。
II.PECVD
A.概述
可使用等离子体增强化学气相沉积(PECVD)而不是LPCVD,来形成半导体层的堆叠结构。PECVD可允许在单一腔室而不是多个腔室中处理所有层。结果是,PECVD可以更有效、更具成本效益、并且具有更少的缺陷。PECVD还可避免搬运(handling)基板的前侧。代替在基板的背侧上沉积氮化硅层,使用PECVD的实施方式可使用不同的配方,来管理由氮化硅层造成的应力。以此种方式,可最小化晶片弯曲。观察在沉积氮化硅的同时包括氦流量和使用一定范围的RF功率,以影响氮化硅层中的应力。另外,观察在沉积硅时使用的具有氮和氨的等离子体,以改善硅对下面的氮化硅的粘附力。可用PECVD来沉积所有层。实施方式可排除用其他方法(包括LPCVD)来沉积的层。
B.用于形成堆叠结构的示例方法
如图5中所示,本技术的实施方式可包括形成半导体层的堆叠结构的方法500。方法500可包括在基板上沉积第一氧化硅层(方框502)。基板可以是本文所述的任何基板。第一氧化硅层可以是本文所述的任何氧化硅层。可通过PECVD来沉积第一氧化硅层。
方法500还可包括在第一氧化硅层上沉积第一硅层(方框504)。可通过PECVD来沉积第一硅层,并且第一硅层可以是本文所述的任何硅层。
方法500可进一步包括在第一硅层上沉积第一氮化硅层(方框506)。可通过PECVD来沉积第一氮化硅层。可将第一氮化硅层沉积至本文所述的任何厚度。沉积第一氮化硅层可包括使包括氦和硅烷或乙硅烷的气体流动通过等离子体,以形成等离子体流出物(方框506a)。流动的气体还可包括氮或氨中的至少一个。在一些实施方式中,气体可排除化合物中的一种或多种。可以使氦以在1slm(标准升/分钟)至9slm的范围内的速率来流动。在实施方式中,可以使氦在0.5slm至1slm、1slm至2slm、2slm至3slm、3slm至4slm、4slm、至5slm、5slm至6slm、6slm至7slm、7slm至8slm、8slm至9slm、9slm至10slm、或超过10slm的范围内的速率来流动。硅烷的流量可以是50sccm至100sccm、100sccm至150sccm、150sccm至200s ccm或大于200sccm。硅烷的流量可包括约112sccm。氮的流率可以是5,000sccm至10,000sccm、10,000sccm至15,000sccm、15,000sccm至20,000sccm或大于20,000sccm。作为实例,氮的流率可以是约10,0000sccm。氨的流率可以是500sccm至1,000sccm、1,000sccm至1,500sccm、1,500sccm至2,000sccm或超过2,000sccm。氨的流率可以是约1,860sccm。
可用RF功率来维持等离子体。RF功率可以在200W至550W、150W至200W、200W至300W、300W至400W、400W至500W、500W至600W或大于600W的范围内。RF功率可以是13.56MHz。
沉积第一氮化硅层可包括减小在第一硅层、第一氧化硅层或基板中的至少一者中的应力(方框506b)。可针对所有层,将应力总体降低。第一氮化硅层的特征可在于在-1,500MPa至600MPa的范围内的应力,其中负值表示压缩应力,而正值表示拉伸应力。可将第一氮化硅层的应力调整为更拉伸的,以抵消下面的层的压缩应力。在实施方式中,可用更低的RF功率和更低的氦流率来沉积氮化硅层。可将弯曲降低本文所述的任何量。作为实例,在不使用氮化硅实施方式来管理应力的情况下,针对八个OPNP堆叠结构的之前的弯曲可以是接近+150μm,而用低RF功率和低氦流量沉积应力层的情况下弯曲可以是-70μm。
氮化硅在热磷酸氮化物浴中可具有高湿速率(例如,大于1,000埃/分钟),以便能够在后续工艺中(如果需要)选择性地(完全地或部分地)移除一些氮化硅层。
另外,方法500可包括在第一氮化硅层上沉积第二硅层(方框508)。第二硅层可以是本文所述的任何硅层和厚度。
操作可形成半导体层的堆叠结构,其中堆叠结构包括第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。可重复这些操作,以形成OPNP半导体层的多个堆叠结构,包括本文所述的任何堆叠结构。
可在处理工具的相同腔室中进行沉积第一氧化硅层、第一硅层、第一氮化硅层和第二硅层。处理工具可以是应用
Figure BDA0002357081490000091
Figure BDA0002357081490000092
系统。方法500可进一步包括在沉积第二硅层之后并且在发生任何其他沉积或图案化之前从腔室移除基板。在重复OPNP堆叠结构的实施方式中,可在重复OPNP堆叠结构之后并且在堆叠结构上的任何图案化工艺之前从处理工具移除基板。可将基板传送到前开式标准舱(FOUP)中。然后,半导体层堆叠结构可经历图案化工艺。
在沉积第二硅层之后,在实施方式中,基板和层可具有小于3%、小于2%或小于1%的均匀性标准差。晶片基板弯曲的大小可以小于150μm、小于100μm或小于50μm,或是压缩的或是拉伸的。在实施方式中,粘附力可以优于5J/m2、6J/m2、7J/m2、8J/m2、9J/m2或10J/m2。如由(AFM)测量的,粗糙度可以优于2nm RMS、1.5nm RMS、1nm RMS或0.5nm RMS。
C.用于管理在层中的应力的示例方法
如图6所示,实施方式可包括管理在半导体基板上的氮化硅层中的应力的方法600。半导体基板可包括在硅基板上的氧化硅层上的硅层。硅基板可以是硅晶片。硅层可以是本文所述的任何硅层。氧化硅层可以是本文所述的任何氧化硅层。
方法600可包括确定在氮化硅层中的目标应力水平(方框602)。目标应力水平可以在-1,500MPa至600MPa的范围内。可基于堆叠结构中的所有层的厚度和针对其他膜层的应力,来选择目标应力水平。可测量或可计算层中的应力,并且可基于其他层的应力,来确定目标应力水平。PECVD氧化物和非晶硅可以是压缩层并且可被沉积至预定厚度。可测量或计算这些氧化物层和硅层的压缩应力。可使用待沉积的氮化硅的厚度,来计算抵消压缩应力的目标应力水平。可用Stoney方程来计算氮化硅层中的目标应力水平。可选择目标应力水平,以便在形成整个介电堆叠结构之后使基板中的弯曲最小化。
方法600还可包括使用校准曲线来确定氦流率和RF功率,以实现目标应力水平(方框604)。校准曲线可包括来自先前运行或实验的数据,所述数据将氮化硅层中的应力与沉积工艺中使用的氦流率和/或RF功率相关联。校准曲线可以是图形、回归(例如,线性回归)、方程或一组数据点的形式。可不需要为每个氮化硅层产生校准曲线,并且可使用先前所产生的校准曲线,来处理多个基板和/或多个氮化硅层。
方法600可进一步包括使氦(以所述流率)、硅烷、氮和氨在具有RF功率的情况下流动通过等离子体(方框606)。氦的流率可以是在本文所述的任何范围内,包括1slm至9slm的范围。RF功率可以是在本文描述的任何范围内,包括200至550W的范围。
另外,方法600可包括在半导体基板上沉积氮化硅层(方框608)。可通过PECVD来沉积氮化硅层。可将氮化硅层沉积至本文所述的任何厚度。沉积在半导体基板上之后,氮化硅层可的特征可在于与目标应力水平相同或在目标应力水平的5%、10%、15%、20%或25%之内的应力水平。
方法600还可包括在氮化硅层上沉积硅层。硅层可以是本文所述的任何硅层。
实例
实例1
针对不同的氦流率测量氮化硅级(level)中的应力水平。氦流率从1,000sccm到6,250sccm不等。RF功率恒定在250W,温度恒定在480℃,硅烷流量为112sccm,氮流量为10,000sccm并且氨流量为1,860sccm。沉积的氮化硅层的厚度范围为从约1,800埃至约2,000埃。氮化物层中产生的应力如图7中所示。应力范围为从约200MPa至约600MPa。氦流量的更高水平造成更小应力。
实例2
在没有氦流率的情况下,针对不同的RF功率测量氮化硅级中的应力水平。RF功率从250W到550W不等。氦流率固定为0sccm,温度恒定在480℃,硅烷流量为112sccm,氮流量为10,000sccm并且氨流量为1,860sccm。沉积的氮化硅层的厚度范围为从约2,000埃至约3,700埃。氮化物层中产生的应力如图8中所示。应力范围为从约-880MPa至约700MPa。更高RF功率造成绝对应力的更低水平。观察到具有最小的大小的应力是处于约350W。
实例3
在9,000sccm的氦流率下,针对不同的RF功率测量氮化硅级中的应力水平。RF功率从200W到550W不等。氦速率固定在9,000sccm,温度恒定在480℃,硅烷流量为112sccm,氮流量为10,000sccm并且氨流量为1,860sccm。沉积的氮化硅层的厚度范围为从约1,500埃至约2,900埃。氮化物层中产生的应力如图9中所示。应力范围为从约-1,500MPa至约550MPa。更高RF功率造成绝对应力的更低水平。在更高RF功率的情况下更低应力的趋势存在于没有He流量和氦流率为9,000sccm的两种情况。如图8和图9中所见,附加的氦流率进一步降低氮化硅层中的应力。
实例4
图10A、图10B和图10C示出根据本技术的实施方式的用PECVD来沉积的八组OPNP层的横截面扫描电子显微镜(SEM)图像。RF功率为250W。氦流率为6,250sccm。重复的堆叠结构是300埃的未掺杂的非晶硅,在500埃的氮化硅上,在300埃的未掺杂的非晶硅上,在300埃的氧化硅的顶部上。图10A中的矩形1010中的顶层在图10B中成像。图10A中的矩形1020中的底层在图10C中成像。均匀性的估计标准差为1.33%。
实例5
图11A、图11B和图11C示出根据本技术的实施方式的用LPCVD来沉积的八组OPNP层的横截面SEM图像。重复的堆叠结构是300埃的未掺杂的非晶硅,在500埃的氮化硅上,在300埃的未掺杂的非晶硅上,在300埃的氧化硅的顶部上。在前侧上沉积两组OPNP层之后,在背侧上沉积1,000埃的氮化硅。针对八组OPNP层,将晶片翻转总共三次,并且沉积三次1,000埃的氮化硅。图11A中的矩形1110中的顶层在图11B中成像。图11A中的矩形1120中的底层在图11C中成像。均匀性的估计标准差为2.75%。在图10A、图10B和图10C中通过PECVD来沉积的堆叠结构比图11A、图11B和图11C中通过LPCVD来沉积的堆叠结构具有更好的均匀性和粗糙度。用LPCVD的较差均匀性和粗糙度的部分是堆叠结构中存在颗粒。在没有这些颗粒缺陷的情况下,用LPCVD的均匀性和粗糙度将被改善,尽管可能仍未达到PECVD的水平。
III.系统
A.LPCVD
图12示出根据实施方式的沉积、蚀刻、烘烤和固化腔室的处理系统1200的一个实施方式的俯视平面图。图12中所描绘的处理系统1200可包含复数个工艺腔室1214A-1214D、传送腔室1210、维护腔室1216、整合计量腔室1217和一对装载锁定腔室1206A-1206B。工艺腔室可包括与关于LPCVD描述的结构或部件类似的结构或部件,以及附加的处理腔室。
为了在腔室之间输送基板,传送腔室1210可包含机器人输送机构1213。输送机构1213可具有分别附接至可伸展臂的远端1213B的一对基板输送叶片1213A。叶片1213A可用于将个别的基板运送到工艺腔室和从工艺腔室运送个别的基板。在操作中,诸如输送机构1213的叶片1213A的基板输送叶片中的一者可从诸如腔室1206A-1206B的装载锁定腔室中的一者取回基板W,并且将基板W运送到第一处理阶段,例如,如下文所述的在腔室1214A-1214D中的蚀刻工艺。如果腔室被占用,那么机器人可以等待直到处理完成,并且然后用一个叶片1213A从腔室移除经处理的基板,并且可用第二叶片(未示出)插入新基板。基板一旦经过处理,然后就可以移动到第二处理阶段。对于每次移动,输送机构1213通常可具有运送基板的一个叶片和空的一个叶片,以执行基板更换。输送机构1213可在每个腔室处等待,直到可完成更换。
一旦在工艺腔室内完成处理,输送机构1213可从最后的工艺腔室移动基板W,并且将基板W输送到在装载锁定腔室1206A-1206B内的盒。从装载锁定腔室1206A-1206B,基板可移动到工厂接口1204。工厂接口1204通常可操作以在大气压力清洁环境中的舱装载器1205A-1205D与装载锁定腔室1206A-1206B之间传送基板。例如,通常可通过空气过滤工艺(诸如HEPA过滤)来提供在工厂接口1204中的清洁环境。工厂接口1204还可包括基板定向器/对准器(未示出),基板定向器/对准器可用于在处理之前适当地对准基板。可将至少一个基板机器人(诸如机器人1208A-1208B)定位在工厂接口1204中,以在工厂接口1204内的各种地点/位置之间输送基板,和将基板输送到与工厂接口交通的其他位置。机器人1208A-1208B可经配置以沿着工厂接口1204内的轨道系统从工厂接口1204的第一端行进到第二端。
处理系统1200可进一步包括整合计量腔室1217,以提供控制信号,所述控制信号可提供对处理腔室中所执行的任何处理的自适应控制(adaptive control)。整合计量腔室1217可包括各种计量装置中的任何计量装置,以测量各种膜性质,诸如厚度、粗糙度、成分,并且计量装置可进一步能够以自动方式在真空下表征光栅参数,诸如临界尺寸、侧壁角度和特征高度。
现在转向图13,示出根据本技术的示例性工艺腔室系统1300的横截面图。腔室1300可用于(例如)先前讨论的系统1200的处理腔室区段1214的一个或多个。通常,蚀刻腔室1300可包括用于实施离子研磨操作的第一电容耦合式等离子体源和用于实施沉积操作和实施可选的蚀刻操作的第二电容耦合式等离子体源。腔室1300可包括围绕卡盘1350的接地腔室壁1340。在实施方式中,卡盘1350可以是静电卡盘,静电卡盘在处理期间将基板1302夹持到卡盘1350的顶表面,尽管还可使用其他已知的夹持机构。卡盘1350可包括嵌入式热交换器线圈1317。在示例性实施方式中,热交换器线圈1317包括一个或多个传热流体通道,可使传热流体(诸如乙二醇/水的混合物)通过所述传热流体通道,来控制卡盘1350的温度和最终控制基板1302的温度。
卡盘1350可包括耦合到高电压DC源1348的网格(mesh)1349,使得网格1349可承载DC偏置电位,以实施对基板1302的静电夹持。可将卡盘1350与第一RF电源耦合,并且在一个这样的实施方式中,可将网格1349与第一RF电源耦合,使得DC电压偏移和RF电压电位两者跨卡盘1350的顶表面上的薄介电层耦合。在说明性实施方式中,第一RF电源可包括第一RF产生器1352和第二RF产生器1353。RF产生器1352、1353可在任何工业上使用的频率下操作,然而在示例性实施方式中,RF产生器1352可在60MHz下操作,以提供有利的方向性。在还提供第二RF产生器1353的情况下,示例性频率可以是2MHz。
在卡盘1350被RF供电的情况下,可由第一喷头1325提供RF返回路径。可将第一喷头1325设置在卡盘上方,以将第一进给气体分配到由第一喷头1325和腔室壁1340限定的第一腔室区域1384中。因此,卡盘1350和第一喷头1325形成第一RF耦合电极对,以电容地向在第一腔室区域1384内的第一进给气体的第一等离子体1370供能。DC等离子体偏压或RF偏压(由RF供电的卡盘的电容耦合而产生)可产生从第一等离子体1370到基板1302的离子通量(例如,在第一进给气体是Ar的情况下的Ar离子),以提供离子研磨等离子体。可将第一喷头1325接地或替代地与RF源1328耦合,RF源1328具有可在不同于卡盘1350的频率下(例如,13.56MHz或60MHz)操作的一或多个产生器。在图示的实施方式中,可将第一喷头1325可选择地通过继电器1327耦合到接地或RF源1328,继电器1327可在蚀刻工艺期间被自动控制,例如通过控制器(未示出)。在公开的实施方式中,腔室1300可不包括喷头1325或介电间隔件1320,并且可以替代地仅包括下文进一步描述的挡板1315和喷头1310。
如图中进一步图示,蚀刻腔室1300可包括能够在低工艺压力下具有高产量的泵组。在实施方式中,可将至少一个涡轮分子泵1365、1366通过一个或多个栅阀1360与第一腔室区域1384耦合,并且设置在卡盘1350下方,与第一喷头1325相对。涡轮分子泵1365、1366可以是具有合适产量的任何商业上可获得的泵,并且更具体地,可适当地依一定尺寸制造涡轮分子泵1365、1366,以在第一进给气体的希望流率下将工艺压力维持在低于或约10mTorr或者低于或约5mTorr,第一进给气体的希望流率例如是在氩是第一进给气体的情况下50至500sccm的Ar。在图示的实施方式中,卡盘1350可形成在两个涡轮泵1365和1366之间居中的基座的部分,然而在替代配置中,卡盘1350可位于从腔室壁1340悬臂伸出的基座上,其中单一涡轮分子泵的中心与卡盘1350的中心对准。
设置在第一喷头1325上方的可以是第二喷头1310。在一个实施方式中,在处理期间,可将第一进给气体源(例如,从气体分配系统1390传输的氩)与气体入口1376耦合,并且第一进给气体流动通过复数个孔1380(复数个孔1380延伸通过第二喷头1310)进入第二腔室区域1381,并且第一进给气体流动通过复数个孔1382(复数个孔1382延伸通过第一喷头1325)进入第一腔室区域1384。具有孔1378的附加流量分配器或挡板1315可进一步通过分配区域1318跨蚀刻腔室1300的直径来分配第一进给气体流量1316。在替代实施方式中,可使第一进给气体经由孔1383直接流入第一腔室区域1384中,第一腔室区域1384与第二腔室区域1381隔离,如虚线1323所示。
腔室1300可经配置以执行沉积操作。可通过RF放电在第二腔室区域1381中产生等离子体1392,RF放电可用针对第二等离子体1392描述的任何方式来实施。在对第一喷头1325供电以在沉积期间产生等离子体1392的情况中,可将第一喷头1325通过介电间隔件1330与接地腔室壁1340隔离,使得第一喷头1325相对于腔室壁电气浮动。在示例性实施方式中,氧化剂进给气体源(诸如分子的氧)可从气体分配系统1390传输,并且与气体入口1376耦合。在第一喷头1325是多通道喷头的实施方式中,可将任何包含硅的前驱物(举例而言诸如OMCTS)从气体分配系统1390传输,并且引导到第一腔室区域1384中,以与从等离子体1392通过第一喷头1325的反应性物质反应。或者,还可使包含硅的前驱物连同氧化剂流动通过气体入口1376。
腔室1300可附加地从所图示的状态经重新配置以执行蚀刻操作。可将辅助电极(secondary electrode)1305设置在第一喷头1325上方,在辅助电极1305与第一喷头1325之间具有第二腔室区域1381。辅助电极1305可进一步形成蚀刻腔室1300的盖子或顶板。可将辅助电极1305和第一喷头1325通过介电环1320来电气隔离,并且形成第二RF耦合电极对,以电容性放电第二腔室区域1381内的第二进给气体的第二等离子体1392。有利地,第二等离子体1392可不在卡盘1350上提供显著的RF偏置电位。可将第二RF耦合电极对的至少一个电极与RF源耦合,以对蚀刻等离子体供能。可将辅助电极1305与第二喷头1310电气耦合。在示例性实施方式中,第一喷头1325可与接地平面耦合或是浮动的,并且可通过继电器1327耦合到接地,允许第一喷头1325在操作的离子研磨模式期间也由RF电源1328来供电。在第一喷头1325接地的情况下,可将具有(例如)以13.56MHz或60MHz操作的一个或多个RF产生器的RF电源1308通过继电器1307与辅助电极1305耦合,继电器1307可允许辅助电极1305在其他操作模式期间(诸如在离子研磨操作期间)也可接地,尽管如果将第一喷头1325供电,辅助电极1305也可浮动。
可从气体分配系统1390传输第二进给气体源(诸如三氟化氮)和氢源(诸如氨),并且第二进给气体源(诸如三氟化氮)和氢源(诸如氨))可与气体入口1376耦合(诸如经由虚线1324)。在此模式中,第二进给气体可流动通过第二喷头1310,并且可在第二腔室区域1381中被供能。然后,反应性物质可进入第一腔室区域1384,以与基板1302反应。如进一步图示的,对于第一喷头1325是多通道喷头的实施方式,可提供一个或多个进给气体,以与由第二等离子体1392产生的反应性物质反应。在一个这样的实施方式中,可将水源与复数个孔1383耦合。附加的配置还可基于所提供的大致图示,但是重新配置各种部件。例如,流量分配器或挡板1315可以是类似于第二喷头1310的板,并且可被定位在辅助电极1305与第二喷头1310之间。因为这些板中的任何板可以操作而作为用于产生等离子体的各种配置中的电极,所以类似于介电环1320,一个或多个环形或其他形状的间隔件可定位在这些部件中的一个或多个之间。在实施方式中,第二喷头1310也可操作而作为离子抑制板,并且可经配置以减少、限制或抑制离子物质流过第二喷头1310,而同时仍允许中性和自由基物质的流动。在第一喷头1325与卡盘1350之间,可在腔室中包括一个或多个附加的喷头或分配器。这样的喷头可采用先前所述的任何分配板或结构的形状或结构。而且,在实施方式中,可将远程等离子体单元(未示出)与气体入口耦合,以向腔室提供等离子体流出物,以在各种工艺中使用。
在实施方式中,卡盘1350可以在垂直于第一喷头1325的方向上沿距离H2是可移动的。卡盘1350可位于由波纹管1355或类似物围绕的致动机构上,以允许卡盘1350更靠近或更远离第一喷头1325移动,作为控制在卡盘1350与第一喷头1325之间的传热的构件,可处于80℃-150℃或更高的升高的温度。因此,可通过相对于第一喷头1325在第一预定位置和第二预定位置之间移动卡盘1350,来实施蚀刻工艺。或者,卡盘1350可包括提升器1351,以使基板1302升高离开卡盘1350的顶表面距离H1,以控制在蚀刻工艺期间通过第一喷头1325的加热。在其他实施方式中,例如,在诸如约90-110℃的固定温度下执行蚀刻工艺的情况下,可避免卡盘位移机构。系统控制器(未示出)可通过交替地对第一RF耦合电极对和第二RF耦合电极对自动供电,来在蚀刻工艺期间交替地对第一等离子体1370和第二等离子体1392供能。
包括腔室1300作为通用腔室配置,通用腔室配置可用于参考本技术讨论的各种操作。不应将腔室视为对技术的限制,而是有助于理解所描述的工艺。本领域已知或正在开发的若干其他腔室可与本技术一起利用,包括由加利福尼亚州圣克拉拉市的应用材料公司所生产的任何腔室,或可执行本文所述技术的任何腔室。
B.PECVD
图14示出具有分隔的区域的示例性基板处理腔室1401的横截面图,分隔的区域在基板处理腔室1401内。由于相对于基板处理区域1433的分隔,分隔的区域在本文中将被称为远程腔室区域。如所示,可将远程等离子体系统(RPS)1402呈现在基板处理腔室1401上并且在基板处理腔室1401外。RPS1402可用于激发通过惰性供应线1411供应的惰性气体。然后,在RPS 1402中形成的等离子体流出物行进到流出物混合区域1405,并且与通过氧化前驱物供应线1412供应的氧化前驱物组合。
示出并且根据实施方式可各自包括冷却板1403、面板1417、离子抑制器1423、喷头1425基板支撑件1465(也称为基座,在基板支撑件1465上设置有基板1455)。基座1465可具有热交换通道,热交换流体通过所述热交换通道流动,以控制基板的温度。这种配置可允许基板1455的温度被冷却或加热,以维持相对低的温度,诸如在-20℃至200℃之间。还可使用嵌入式加热器元件来将基座1465电阻地加热到相对高的温度,诸如在100℃与1100℃之间。
流出物混合区域1405开通到气体供应区域1458,气体供应区域1458通过面板1417与远程腔室区域1415分隔开,使得气体/物质流动通过在面板1417中的孔洞而进入远程腔室区域1415。可选择结构和操作特征,以防止等离子体从远程腔室区域1415显著回流到气体供应区域1458、流出物混合区域1405和流体供应系统1410中。结构特征可包括选择在面板1417中的孔的尺寸和横截面几何形状,以在远程腔室区域1415中产生等离子体的情况下去激励(deactivate)返流等离子体。操作特征可包括维持在气体供应区域1458与远程腔室区域1415之间的压力差,所述压力差维持通过喷头1425的等离子体流出物的单方向流量。面板1417(或腔室的导电顶部分)和喷头1425被示出为具有位于特征之间的绝缘环1420(这允许将AC电位相对于喷头1425和/或离子抑制器1423施加到面板1417。可将绝缘环1420定位在面板1417与喷头1425和/或离子抑制器1423之间,使得能够在远程腔室区域中形成电容耦合等离子体(CCP)。远程腔室区域1415在用于形成远程等离子体时可被称为腔室等离子体区域。然而,在实施方式中,远程腔室区域1415中不存在等离子体。根据实施方式,惰性气体可仅在RPS 1402中被激发。
离子抑制器1423中的复数个孔洞可经配置以控制受激励气体(即,离子物质、自由基物质和/或中性物质)通过离子抑制器1423的通道。例如,可控制孔洞的纵横比(或孔洞直径对长度)和/或孔洞的几何形状,使得通过离子抑制器1423的受激励气体中的离子带电的(ionically-charged)物质的流量减小。离子抑制器1423中的孔洞可包括面向远程腔室区域1415的渐缩部分和面向喷头1425的圆柱形部分。可塑形圆柱形部分或对圆柱形部分定尺寸,以控制通向喷头1425的离子物质的流量。还可以将可调节电偏压施加到离子抑制器1423,作为控制离子物质通过抑制器的流量的附加构件。离子抑制元件1423可起到减少或消除从等离子体产生区域行进到基板的离子带电物质的量的功能。不带电的中性物质和自由基物质仍然可穿过离子抑制器中的开口,以与基板反应。
等离子体功率可以是各种频率的或者是多个频率的组合。在示例性处理系统中,可由相对于离子抑制器1423和/或喷头1425传输到面板1417的RF功率,来提供远程等离子体。可在RPS 1402内替代地或组合地施加RF功率。为了增加腔室部件(例如,RPS 1402)的寿命或出于处理考虑,RF功率可以在10瓦特与10,000瓦特之间、10瓦特与5,000瓦特之间、25瓦特与2000瓦特之间、50瓦特与1500瓦特之间或250瓦特与500瓦特之间。在实施方式中,在示例性处理系统中施加到远程等离子体区域(腔室等离子体区域和/或RPS)的RF频率可以是小于200kHz的低RF频率、在10MHz与15MHz之间的较高RF频率或大于或约为1GHz的微波频率。可将等离子体功率电容耦合(CCP)或电感耦合(ICP)到远程等离子体区域中。
在实施方式中,源自RPS 1402和/或远程腔室区域1415中的惰性气体的受激发物质可行进通过离子抑制器1423和/或喷头1425中的孔,并且与从喷头的单独部分流动到基板处理区域1433中的氧化前驱物反应。在远程等离子体蚀刻工艺期间,在基板处理区域1433中可存在很少或不存在等离子体。前驱物和惰性气体的激发衍生物可在基板上方的区域中和/或基板上进行组合,以蚀刻结构或从基板移除物质。
可将干法蚀刻系统的实施方式结合到更大的制造系统中,以供产生集成电路芯片。在实施方式中,图15示出沉积、蚀刻、烘烤和固化腔室的一个这样的处理系统(主机)1501。在该图中,一对前开式标准舱(FOUP)(装载锁定腔室1502)供应各种尺寸的基板,由机器人臂1504接收基板,并且在放入基板处理腔室1508a-1508f中的一个之前将基板放置在低压保持区域1506中。第二机器人臂1510可用于将基板晶片从保持区域1506输送到基板处理腔室1508a-1508f和返回。每个基板处理腔室1508a-1508f可经配备以执行数个基板处理操作,除了循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气、定向和其他基板处理之外还包括本文所述的干法蚀刻处理。
在不脱离本发明实施方式的精神和范围的情况下,可以任何合适的方式来组合特定实施方式的具体细节。然而,本发明的其他实施方式可针对与每个个别方面有关的特定实施方式或这些个别方面的特定组合。
出于图示和描述的目的,已经呈现本发明的示例实施方式的以上描述。这并非旨在详尽无遗或限制本发明于所述的精确形式,并且鉴于上文教导,许多修改和变化是可能的。
在前面的描述中,出于解释的目的,已经阐述许多细节,以便提供对本技术的各种实施方式的理解。然而,对于本领域技术人员所显而易见的是,某些实施方式可以在没有这些细节中的一些细节或具有附加细节的情况下来实施。
已经描述了若干实施方式,本领域技术人员将认识到,在不脱离本发明的精神的情况下,可使用各种修改、替代构造和等效物。另外,没有描述许多众所周知的工艺和元件,以避免不必要地模糊本发明。另外,任何特定实施方式的细节可能不总是存在于该实施方式的变化中,或者可被添加到其他实施方式。
在提供值的范围的情况下,应理解的是,还具体公开了该范围的上限和下限之间的每个中间值,到下限的单位的十分之一,除非上下文另有明确规定。包括在陈述范围内的任何陈述值或中间值与该陈述范围内的任何其他陈述值或中间值之间的每个更小范围。可将这些更小范围的上限和下限独立地包括或排除于所述范围中,并且在本发明内还包括在这些更小范围中包括任一限值、不包括任一限值或包括两个限值的每个范围,受制于所述陈述范围中任何明确排除的限值。在陈述范围包括限值的一个或两个的情况下,则还包括排除这些包括限值中的一个或两个的范围。
如本文和在所述的权利要求书中使用的,单数形式“一”和“所述”包括复数对象,除非上下文另有明确规定。因此,例如,对“一种方法”的提及包括复数个这样的方法,并且对“所述层”的提及包括对一个或多个层和本领域技术人员已知的等效物等等的参考。出于清楚和理解的目的,已经详细描述了本发明。然而,应理解的是,可在所附权利要求的范围内实践某些改变和修改。
出于所有目的,本文所引用的所有公开、专利和专利申请案均通过引用方式整体并入本文。上述项均不承认为现有技术。

Claims (15)

1.一种形成半导体层的堆叠结构的方法,所述方法包括以下步骤:
在基板上沉积第一氧化硅层;
在所述第一氧化硅层上沉积第一硅层;
在所述第一硅层上沉积第一氮化硅层,其中沉积所述第一氮化硅层包括以下步骤:
使包括氦和硅烷或乙硅烷的气体流动通过等离子体,以形成等离子体流出物,其中用RF功率维持所述等离子体,和
减少在所述第一硅层、所述第一氧化硅层或所述基板中的至少一个中的应力;和
在所述第一氮化硅层上沉积第二硅层并且形成包括所述第一氧化硅层、所述第一硅层、所述第一氮化硅层和所述第二硅层的半导体层的所述堆叠结构。
2.如权利要求1所述的方法,其中所述RF功率是在从200至550W的范围中。
3.如权利要求1所述的方法,其中所述氦以在从1slm至9slm的范围中的速率来流动。
4.如权利要求1所述的方法,其中沉积所述第一氧化硅层、沉积所述第一硅层、沉积所述第一氮化硅层、沉积所述第二硅层的步骤是在腔室中执行。
5.如权利要求1所述的方法,其中所述第一氮化硅层的特征在于在从-1,500MPa至600MPa的范围中的应力。
6.一种管理在半导体基板上的氮化硅层中的应力的方法,所述方法包括以下步骤:
确定在所述氮化硅层中的目标应力水平,
使用校准曲线来确定氦的流率和RF功率,以实现所述目标应力水平,在具有所述RF功率的情况下使在所述流率下的氦和硅烷或乙硅烷流动通过等离子体,和
在所述半导体基板上沉积所述氮化硅层。
7.如权利要求6所述的方法,其中所述半导体基板包括在硅基板上的氧化硅层上的硅层。
8.如权利要求6所述的方法,其中所述氮化硅层中的所述目标应力水平在从-1,500MPa至600MPa的范围中。
9.一种形成半导体层的堆叠结构的方法,所述方法包括以下步骤:
在基板上沉积第一氧化硅层;
在所述第一氧化硅层上沉积第一硅层;
在所述第一硅层上沉积第一氮化硅层;
在所述第一氮化硅层上沉积第二硅层;和
在与具有所述第一氧化硅层的所述基板的一侧相对的所述基板的一侧上沉积应力层,并且形成包括所述第一氧化硅层、所述第一硅层、所述第一氮化硅层和所述第二硅层的半导体层的所述堆叠结构。
10.如权利要求9所述的方法,其中所述应力层是第二氮化硅层。
11.如权利要求9所述的方法,其中沉积所述应力层的步骤是在所述基板的特征在于超过阈值的弯曲之后。
12.如权利要求11所述的方法,其中在沉积所述应力层之后,所述基板的特征在于由不超过所述阈值的弯曲。
13.如权利要求9所述的方法,其中沉积所述应力层的步骤是在沉积所述第一氮化硅层之后并且在沉积所述第二硅层之前。
14.如权利要求9所述的方法,其中沉积所述应力层的步骤是在沉积所述第二硅层之后并且在图案化所述第二硅层之前。
15.如权利要求9所述的方法,其中通过低压化学气相沉积来沉积所述第一氧化硅层、所述第一硅层、所述第一氮化硅层、所述第二硅层和所述应力层。
CN201880045332.8A 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法 Active CN110892504B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311224892.9A CN117238918A (zh) 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762529207P 2017-07-06 2017-07-06
US62/529,207 2017-07-06
PCT/US2018/040745 WO2019010196A1 (en) 2017-07-06 2018-07-03 METHODS OF FORMING A STACK OF MULTIPLE SEMICONDUCTOR LAYERS LAID DOWN

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311224892.9A Division CN117238918A (zh) 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法

Publications (2)

Publication Number Publication Date
CN110892504A true CN110892504A (zh) 2020-03-17
CN110892504B CN110892504B (zh) 2023-10-13

Family

ID=64903424

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880045332.8A Active CN110892504B (zh) 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法
CN202311224892.9A Pending CN117238918A (zh) 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311224892.9A Pending CN117238918A (zh) 2017-07-06 2018-07-03 形成多个沉积半导体层的堆叠结构的方法

Country Status (8)

Country Link
US (2) US10490467B2 (zh)
EP (1) EP3649670A4 (zh)
JP (1) JP7007407B2 (zh)
KR (1) KR102354258B1 (zh)
CN (2) CN110892504B (zh)
SG (1) SG11201912265WA (zh)
TW (2) TW202246561A (zh)
WO (1) WO2019010196A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201912265WA (en) 2017-07-06 2020-01-30 Applied Materials Inc Methods of forming a stack of multiple deposited semiconductor layers
US10903070B2 (en) * 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR20210130646A (ko) * 2020-04-21 2021-11-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 방법
WO2024024166A1 (ja) * 2022-07-26 2024-02-01 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01256126A (ja) * 1988-04-06 1989-10-12 Sumitomo Electric Ind Ltd 半導体装置の製造方法
JPH0629222A (ja) * 1992-07-09 1994-02-04 Nippondenso Co Ltd 半導体装置の製造方法
US20070066009A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Sidewall image transfer (sit) technologies
CN1962934A (zh) * 2005-11-12 2007-05-16 应用材料公司 制备氮化硅叠层的方法
CN101777494A (zh) * 2009-01-09 2010-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US20100233847A1 (en) * 2009-03-12 2010-09-16 Hiroki Ohara Method for manufacturing semiconductor device
CN103081063A (zh) * 2010-09-06 2013-05-01 株式会社Eugene科技 半导体元件的制造方法
CN103109352A (zh) * 2010-10-06 2013-05-15 应用材料公司 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
JP2016539514A (ja) * 2013-11-04 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化物−ケイ素スタックのための付着性の改善
CN108336023A (zh) * 2018-01-30 2018-07-27 上海瀚莅电子科技有限公司 微型oled显示装置及其制作方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7300891B2 (en) * 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
EP1975988B1 (en) * 2007-03-28 2015-02-25 Siltronic AG Multilayered semiconductor wafer and process for its production
JP2008300643A (ja) * 2007-05-31 2008-12-11 Fujitsu Microelectronics Ltd 半導体装置の製造方法
SG173462A1 (en) * 2009-03-05 2011-09-29 Applied Materials Inc Methods for depositing layers having reduced interfacial contamination
US20100314725A1 (en) * 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101837771B1 (ko) 2011-06-30 2018-03-13 에스케이하이닉스 주식회사 게이트 올 어라운드 방식의 2중 채널 형성 방법
WO2013123143A1 (en) * 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
US9184041B2 (en) * 2013-06-25 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10515822B2 (en) * 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US9793398B1 (en) * 2016-08-02 2017-10-17 International Business Machines Corporation Fabrication of a strained region on a substrate
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
SG11201912265WA (en) 2017-07-06 2020-01-30 Applied Materials Inc Methods of forming a stack of multiple deposited semiconductor layers

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01256126A (ja) * 1988-04-06 1989-10-12 Sumitomo Electric Ind Ltd 半導体装置の製造方法
JPH0629222A (ja) * 1992-07-09 1994-02-04 Nippondenso Co Ltd 半導体装置の製造方法
US20070066009A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Sidewall image transfer (sit) technologies
CN1962934A (zh) * 2005-11-12 2007-05-16 应用材料公司 制备氮化硅叠层的方法
CN101777494A (zh) * 2009-01-09 2010-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US20100233847A1 (en) * 2009-03-12 2010-09-16 Hiroki Ohara Method for manufacturing semiconductor device
CN103081063A (zh) * 2010-09-06 2013-05-01 株式会社Eugene科技 半导体元件的制造方法
CN103109352A (zh) * 2010-10-06 2013-05-15 应用材料公司 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈
JP2016539514A (ja) * 2013-11-04 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化物−ケイ素スタックのための付着性の改善
CN105845549A (zh) * 2015-01-29 2016-08-10 气体产品与化学公司 制造3d装置的方法和前体
CN108336023A (zh) * 2018-01-30 2018-07-27 上海瀚莅电子科技有限公司 微型oled显示装置及其制作方法

Also Published As

Publication number Publication date
WO2019010196A1 (en) 2019-01-10
US20190013250A1 (en) 2019-01-10
SG11201912265WA (en) 2020-01-30
US10490467B2 (en) 2019-11-26
CN110892504B (zh) 2023-10-13
KR20200016397A (ko) 2020-02-14
JP2020526920A (ja) 2020-08-31
KR102354258B1 (ko) 2022-01-21
TWI775894B (zh) 2022-09-01
CN117238918A (zh) 2023-12-15
TW202246561A (zh) 2022-12-01
JP7007407B2 (ja) 2022-02-10
EP3649670A4 (en) 2021-07-14
US11056406B2 (en) 2021-07-06
TW201907449A (zh) 2019-02-16
US20200091019A1 (en) 2020-03-19
EP3649670A1 (en) 2020-05-13

Similar Documents

Publication Publication Date Title
US11410860B2 (en) Process chamber for etching low k and other dielectric films
CN110892504B (zh) 形成多个沉积半导体层的堆叠结构的方法
US9293568B2 (en) Method of fin patterning
US10354889B2 (en) Non-halogen etching of silicon-containing materials
US9287095B2 (en) Semiconductor system assemblies and methods of operation
CN107735851B (zh) 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
US11437242B2 (en) Selective removal of silicon-containing materials
US20210183620A1 (en) Chamber with inductive power source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant