CN103109352A - 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈 - Google Patents

用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈 Download PDF

Info

Publication number
CN103109352A
CN103109352A CN2011800443150A CN201180044315A CN103109352A CN 103109352 A CN103109352 A CN 103109352A CN 2011800443150 A CN2011800443150 A CN 2011800443150A CN 201180044315 A CN201180044315 A CN 201180044315A CN 103109352 A CN103109352 A CN 103109352A
Authority
CN
China
Prior art keywords
gas
plasma
substrate
deposition
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800443150A
Other languages
English (en)
Other versions
CN103109352B (zh
Inventor
N·拉贾戈帕兰
X·韩
J·A·朴
清原敦
朴贤秀
金秉宪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103109352A publication Critical patent/CN103109352A/zh
Application granted granted Critical
Publication of CN103109352B publication Critical patent/CN103109352B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Abstract

在单一等离子体增强化学气相沉积处理腔室中,将不同材料的层堆栈结构沉积至基板上,同时维持真空。将基板放到处理腔室中,并且第一处理气体用于在基板上形成第一层的第一材料。在第二处理气体用于在基板上形成第二层的第二材料之前,进行等离子体净化及气体净化。重复等离子体净化及气体净化,并且将第一与第二材料的附加层沉积至层堆栈结构上。

Description

用于3D内存应用的PECVD氧化物-氮化物以及氧化物-硅堆栈
相关申请的交叉引用
本申请要求2010年10月6日提交的“用于3D内存应用的PECVD氧化物-氮化物以及氧化物-硅堆栈(PECVD OXIDE-NITRIDE ANDOXIDE-SILICON STACKS FOR3D MEMORY APPLICATION)”的美国专利申请第12/899,401号的优先权。上述申请的内容以引用方式并入本文。
1.技术领域
本发明涉及半导体基板处理系统,且更具体地,本发明涉及用于沉积材料的层堆栈结构的工艺。
2.背景技术
半导体基板处理系统通常含有处理腔室,处理腔室具有基座,以在腔室内邻近处理区域处支撑半导体基板。腔室构成真空封闭区而部分地界定处理区域。气体分配组件或喷淋头将一种或多种处理气体提供至处理区域。气体接着经加热和/或供应能量而形成等离子体,以在基板上执行一些工艺。这些工艺可包括等离子体增强化学气相沉积(PECVD),以将膜沉积至基板上。
三维(3D)内存可由沉积在基板上的交替膜材料的层堆栈结构制成。例如,3D内存可包括氧化物与氮化物膜的交替层、或者氧化物与硅膜的交替层。这些堆栈结构可包括多层第一材料和第二材料。为了形成这些层堆栈结构,把基板放到第一PECVD腔室内,并且将真空施加至腔室。前驱物气体用来产生等离子体,并且第一材料沉积在基板上。可用惰性气体(例如氮气)净化腔室,接着将基板移出第一PECVD腔室。接着将基板传送到第二PECVD腔室。将真空施加至第二PECVD腔室,并且前驱物气体用来产生等离子体,且第二材料沉积在基板上的第一材料上。移开基板,并且重复工艺,直到在基板上形成所需层数。
上述工艺的问题在于,在不同处理腔室中沉积每一材料层可能十分没有效率。需要一种用于在单一处理腔室中形成多层不同材料、而无需破坏处理腔室真空的方法。
发明内容
在一个实施例中,用于制造交替材料的层堆栈结构的工艺在单一PECVD处理腔室中原位地执行。基板被放到PECVD处理腔室的接地基座上。关闭处理腔室的门以密封腔室,并且将真空施加至腔室。在一个实施例中,电极可按电容耦合构造装设在基座上。交流射频(RF)功率可施加至电极,而在基板与电极之间产生电场。第一组处理气体流入处理腔室,并在PECVD腔室内激发成第一等离子体。等离子体具有被离子化的相当多比例的离子化原子或分子,并且原子或分子释出电子。这些高能电子会诱发第一处理气体分子的解离以及大量自由基的产生。如此将第一材料沉积至基板上。
在沉积第一材料层之后,进行等离子体净化,以清洁PECVD腔室并且调理第一材料层的表面,使第一材料层与将沉积的下一材料层有良好界面。接着进行气体净化,以清除残余气体。第二组处理气体流入处理腔室,并在PECVD腔室内激发成第二等离子体。第二材料层从第二等离子体沉积在第一材料上。等离子体净化清洁PECVD腔室并且调理第二材料层的表面。接着进行气体净化,以移除第二处理气体。
重复所述沉积第一与第二材料层的工艺,直到在基板上已沉积所需层数。由于不同材料的沉积是在同一PECVD处理腔室中且在整个沉积过程中进行,PECVD处理腔室维持真空,因此可增进工艺效率。因不需要在两个不同的PECVD处理腔室之间传送基板来沉积不同材料层,可节省许多时间。
所沉积的材料层堆栈结构可取决于制造的3D内存的类型。可形成层堆栈结构的材料实例包括:氧化硅/氮化硅、氧化硅/硅、硅/掺杂硅、硅/氮化硅和其它材料。在沉积层堆栈结构之后,可将基板移出PECVD处理腔室,并且可进行额外处理,以制造3D存储设备。在一些实施例中,存储设备可需要8x、16x、24x或更多层材料。
将材料层沉积至基板上的潜在问题为,每一层会产生施加到基板的拉伸应力或压缩应力。基板可反抗来自沉积层的应力,以造成基板应变变形,所述应力可导致基板上表面弯曲。基板上表面的所述变形会造成后续平版印刷处理产生误差。为了使基板变形最小化,可调整沉积材料层,使基板上的净应力很小。更具体地,可调整两种材料的应力,使两种材料的应力强度实质相等且方向相反。当沉积彼此相邻的多对层时,相等、但相反的拉伸或压缩应力将趋向于互相抵消,如此基板的净应力将很小,确保基板不会发生任何变形。
虽然净应力可近似零,但因应力相反,相邻层之间的应力可能很大。如果应力太大,则可能打断相邻层之间的键合,以致层堆栈结构的一部分分层。为了避免分层,相邻材料的键合必须很强。在一个实施例中,在沉积材料之后,可向材料的露出表面施行等离子体处理,以改善相邻层之间的键合界面并且防止分层。
附图说明
图1图示沉积在基板上的层堆栈结构;
图2图示PECVD处理腔室;
图3图示沉积在基板上的具有拉伸应力的截面;
图4图示沉积在基板上的具有压缩应力的截面;
图5图示层堆栈结构的截面,所述层堆栈结构具有交替的拉伸应力与压缩应力层;
图6图示层堆栈结构的俯视图,所述层堆栈结构具有交替的拉伸应力与压缩应力层;
图7图示用以将氧化硅/氮化硅层堆栈结构沉积至基板上的工艺步骤的流程图;
图8图示用以将氧化硅/硅层堆栈结构沉积至基板上的工艺步骤的流程图;
图9图示用以将硅/掺杂硅层堆栈结构沉积至基板上的工艺步骤的流程图;
图10图示用以将硅/掺杂硅层堆栈结构沉积至基板上的工艺步骤的流程图;
图11图示用以将硅/氮化硅层堆栈结构沉积至基板上的工艺步骤的流程图;以及
图12图示用以将第一材料/第二材料层堆栈结构沉积至基板上的工艺步骤的流程图。
具体实施方式
参照第1图,在一个实施例中,多层堆栈结构101形成在基板100上,基板100具有第一材料层103和第二材料层105。后续层可重复第一材料层103与第二材料层105的所述交替图案而得。在一个实施例中,第一材料可以是氧化物,并且第二材料可以是氮化物。在其它实施例中,第一/第二材料堆栈结构可以是氧化物/硅、硅/掺杂硅、或者硅/氮化物。所有这些材料组合物可用于BiCS(比特-成本可缩减(Bit-Cost Scalable))、TCAT(万亿比特单元阵列晶体管(Terabit Cell Array Transistor))和其它3D内存结构。在其它实施例中,第一/第二材料堆栈结构可以是其它材料组合物。第一与第二材料层沉积至基板上的顺序也可相反。
层数可取决于制造的存储设备。在一个实施例中,堆栈数量可为8x或16x或24x或甚至更多,其中8、16、24或更多层的每一堆栈结构对应于一个存储设备。两个不同材料层构成每一堆栈结构,因此8x堆栈数量的对应层数可为16,16x堆栈数量可有32层,24x堆栈数量可有48层,并且更多堆栈数量可具有更多层数。
参照图2,图示PECVD处理腔室201。处理腔室201包括基座211、处理气体歧管213、处理气体源215、电极217、发射交流RF电力的RF功率源219、加热器221和净化气体源221。在一个实施例中,电极217可设在基座211正上方,基座211按电容耦合构造电气接地。在一个实施例中,电极217可以是喷头结构,喷头结构具有处理气体的流动路径。处理和净化气体可流过歧管213和电极217而至处理腔室201的基座上方。
在处理期间,将基板100放到基座211上,并且将真空施加至PECVD处理腔室201。可向加热器2211施加能量,以加热基板100。第一组处理气体通过歧管213而进入处理腔室201。电极217由RF功率源219供给能量,以在电极217与接地基座211之间产生电场。在一个实施例中,基座211可设在可变高度调整器上,可变高度调整器能控制基板100的顶部与电极217之间的间隔。RF电场激发第一组处理气体并且产生等离子体225。等离子体225具有相当多比例的离子化的原子或分子,原子或分子释出电子。这些高能电子会诱发前驱物分子的解离以及大量自由基的产生。如此将材料沉积至基板100上。一旦沉积期望厚度的第一材料,即可停止沉积。第一材料层厚度可为100埃(
Figure BDA00002919116200051
)至
Figure BDA00002919116200052
在将第一材料沉积至基板100上之后,等离子体净化PECVD处理腔室201。净化气体可从净化气体源221流入歧管213和处理腔室201。向电极217和基座211供给能量,以产生净化气体等离子体。可使用各种净化气体,包括氨气(NH3)、氮气(N2)、一氧化二氮(N2O)、氢气(H2)、氩气(Ar)和其它适合的等离子体净化气体。在净化工艺期间,可维持处理腔室内的热和压力。等离子体净化调节露出的层表面供附加沉积用。已调理表面造成平滑的层间界面与较佳的层间黏着性、以及较佳的微粒控制。在一些实施例中,为了获得较佳层键合可期望较粗糙的界面,并且可执行不同或额外的等离子体净化工艺。在完成等离子体净化之后,可关闭供给电极217和基座211的能量,并且净化气体从净化气体源222流入歧管213和处理腔室201,以移除所有气体污染物。在一个实施例中,在净化工艺期间,停止前驱物气体的一种或多种组分。例如,如果处理气体包括甲烷(SiH4)与N2O的混合物,则净化气体可只包括N2O,且关闭SiH4流入。在其它实施例中,可使用一种或多种不同的净化气体。
在完成等离子体与气体净化之后,将第二材料沉积至基板上。电极217由RF功率源219供给能量,以在电极217之间产生电场,并且所述电场激发第二组处理气体而产生等离子体225。一旦沉积期望厚度的第二材料,即可停止沉积。第二材料层厚度可为
Figure BDA00002919116200053
Figure BDA00002919116200054
在沉积第二材料层之后,如上所述,可等离子体净化PECVD腔室。如上所述,接着可移除供给电极217的能量,并且气体净化PECVD腔室。一旦完成等离子体及气体净化,即可重复沉积第一材料与第二材料的工艺,直到已沉积所需层为止。接着可将基板100移出PECVD腔室201,以进行额外处理。
所述第一材料与第二材料层沉积工艺具有高的时间与能量效率,因为在同一PECVD处理腔室中发生不同材料的沉积。因为不需在两个PECVD处理腔室之间传送晶圆来沉积第一材料与第二材料层,因此可节省许多时间。例如,所述工艺节省的时间可比采用两个PECVD处理腔室的相同沉积工艺快50%以上。同样,因为只需要单一PECVD处理腔室而不需破坏施加至处理腔室的真空并且再施予每一沉积层,因此所述层堆栈结构沉积工艺更具有能量效率。
另一可能发生问题在于,不同材料沉积至基板上后会引发压缩或拉伸应力。此应力会造成基板弯曲。参照图3,材料层291可沉积在基板100上。第一材料291接着可膨胀而造成压缩应力295。基板100反抗第一材料层291的压缩应力295,压缩应力295导致基板100的边缘往下弯曲。同样地,参照图4,第二材料层293可沉积在基板100上,并且第二材料293可引发拉伸应力297。基板100还将反抗拉伸应力297,拉伸应力297导致基板100的边缘往上弯曲。由于制造容差必须非常精确,因此在进行后续平版印刷处理时,任何基板弯曲或者基板中的凸块会引起对准问题。此不对准会产生制造误差和有缺陷的器件建构。
为了修正此问题,在一个实施例中,可能调整每一沉积层施加至基板的应力。应力可由沉积材料决定。也可通过调整沉积处理条件来将应力调整在限制范围内,沉积处理条件包括处理温度、前驱物气体流率、气体压力和等离子体密度。例如,较冷处理温度会产生压缩应力,并且较热处理温度会产生拉伸应力。较低等离子体压力会增强离子轰击反应物质而产生压缩应力,反之,较高等离子体压力会产生拉伸应力。藉由提高RF功率或缩小基板上方的间隔,可提高等离子体密度,如此会产生更多离子轰击反应物质而产生压缩应力;并且较低等离子体密度会产生拉伸应力。藉由控制温度和等离子体密度,可预测沉积材料的应力。
参照图5,图示多层堆栈结构101的截面,并且图6图示多层堆栈结构101的俯视图。第一材料层291的拉伸应力295实质上可等于第二材料层293的压缩应力297,第二材料层293沉积在第一材料层291上。由于这些应力295、297呈相反方向,因而可有效地互相抵消。由于拉伸与压缩层的数量相同,因此基板100的总净应力近似零。
例如,第一材料层291可为氧化硅,第一材料层291产生压缩应力。第二层293可为氮化硅层,第二层293产生拉伸应力。氮化硅第二层293产生的拉伸应力强度实质上可等于氧化硅第一层291的压缩应力。各对层291、293沉积在彼此的顶部而形成层堆栈结构101,层堆栈结构101具有平衡应力,此平衡应力具有小于150兆帕(MPa)的低总净应力。如此导致基板100将不会因沉积层应力而应变变形。因为应力达到平衡,因此将变形减至最少,并且基板100的上表面将变得平滑又平坦。在沉积层291、293之后,进行精确平版印刷处理需要平坦的基板表面,以形成半导体器件,例如BiSC3D内存。弯曲或依其它方式变形的基板100将造成平版印刷误差。
如所述的,各种材料组合物可如层般沉积至基板100上。这些材料各自可以特定处理气体和特定PECVD操作条件沉积。用于沉积层堆栈结构的工艺在图7至10中示出,图7至10为沉积工艺的流程图,这些沉积工艺用以将多层形式的不同材料组合物沉积至基板上。
参照图7,图示用以将氧化硅与氮化硅的层堆栈结构原位沉积至基板上的流程图。把基板放到PECVD处理腔室内,并且将真空施加至腔室(301)。腔室中的真空压力可为约0.5托耳至10托耳。处理腔室经加热达约180℃至650℃。施加至电极的高频或RF功率可为约45瓦(W)至1000W,并且基板与电极之间的间隔可为约200密耳至800密耳。第一处理气体可包括SiH4与N2O、或者其它含硅分子与含氧分子。SiH4的流率可为约20标准立方厘米每分钟(sccm)至1000sccm,并且N2O的流率可为约1000sccm至20000sccm。SiH4与N2O将被激发并转化成含Si与O离子的等离子体。离子反应导致氧化硅层沉积至基板上(303)。在沉积所需厚度的氧化硅之后,停止沉积。
在一个实施例中,在将SiH4引入处理腔室之前,可通过开启等离子体来减少氧化硅表面的表面粗糙度。藉由缩短SiH4物质的驻留时间,晶圆表面将更为平滑,进而可改善界面与后续沉积层之间的键合。
在沉积氧化硅之后,等离子体净化及气体净化PECVD处理腔室。温度可为约180℃至650℃,并且真空压力可为约0.5托耳至10托耳。基板与电极之间的间隔可为约200密耳至800密耳。N2O净化气体可按约2000sccm至30000sccm的流率流过歧管而进入处理腔室(305)。以100W至1000W的功率向电极和基座供应能量,以产生净化气体等离子体。等离子体净化和N2O净化清洁处理腔室并且造成平滑的层间界面、较佳的沉积层间黏着性和较佳的微粒控制。
在完成净化之后,可将氮化硅层沉积至氧化硅层上。腔室中的压力可为约0.5托耳至10托耳。处理腔室经加热达约180℃至650℃。施加至电极的高频或RF功率可为约50W至700W,并且基板与电极之间的间隔可为约200密耳至800密耳。第二处理气体可包括SiH4、NH3与N2、或者其它含硅分子与含氮分子。SiH4的流率可为约20sccm至1000sccm,且NH3的流率可为约50sccm至1000sccm,并且N2的流率可为约2000sccm至30000sccm。SiH4、NH3与N2将被激发并转化成含Si与N离子的等离子体,这些离子将反应并将氮化硅层沉积至基板上(307)。在沉积所需厚度的氮化硅之后,停止沉积。系统接着将决定是否需沉积附加层(309)。
在沉积氮化硅之后,对氮化硅层进行等离子体处理(311),以改善氮化硅上的键合界面表面,使氧化硅层得以牢牢地沉积在氮化硅上。虽然基板的净应力可近似零,但如果任何相邻层之间的界面键合很弱,则相邻层之间的个别应力可能导致分层。层堆栈结构的单一部分的分层将造成器件缺陷。因此在相邻层之间需有强界面键合,以防分层。在一个实施例中,对氮化硅层进行等离子体处理还可改善表面粗糙度,因此与沉积在氮化硅层上的材料之间将有强键合。
如果相邻层之间的界面键合很强,并且层的应力强度相等且方向相反,则基板上的净应力可小于150MPa,并且基板将不会因层中的应力而变形。由于基板未变形,因此在沉积层堆栈结构之后,上表面将变得平滑又平坦。在沉积氧化层与氮化层之后,进行精确平版印刷处理需要平滑的表面,以形成半导体器件,例如BiSC和TCAT3D内存。层堆栈结构101的上表面中的凸块或弯曲会造成平版印刷误差,如此可导致制造器件产生缺陷。
在一个实施例中,等离子体处理气体可包括NH3与N2。处理腔室经加热达约180℃至650℃,并且真空压力可为约0.5托耳至10托耳。施加至电极的高频或RF功率可为约200W至2000W,并且基板与电极之间的间隔可为约200密耳至800密耳。NH3的流率可为约50sccm至1000sccm,并且N2的流率可为约2000sccm至30000sccm。NH3与N2被激发成等离子体,所述等离子体处理氮化硅层表面,从而通过提供所需表面粗糙度来改善界面。已调理表面提供与待沉积氧化硅层的良好界面键合。层间键合强会防止分层并且防止基板弯曲或变形。
在进行氮化硅等离子体处理之后,净化处理腔室(313)。步骤313的净化工艺可和以上参考步骤305所描述的使用流率为约2000sccm至30000sccm的N2净化气体的工艺一样。接着可重复工艺步骤303至313,直到在基板上已沉积所需层数的氧化层和氮化层(309)。
在3D存储设备中,以所述方式沉积的层数和垂直堆栈的内存晶体管数量成比例。在一个实施例中,8、16、24或更多晶体管可垂直排列在基板上。每一晶体管可能需要一对相邻层。因此,所需层数可为16、32或48或更多。在基板上已沉积所需材料层之后,使PECVD处理腔室处于周围压力,并且将基板移出PECVD处理腔室(315),从而可进行进一步处理。
参照图8,图示用以将氧化硅与硅的层堆栈结构原位沉积至基板上的流程图。把基板放到PECVD处理腔室内,并且将真空施加至腔室(401)。可以和以上参考图7中的步骤303所描述一样的方式,采取相同操作条件沉积氧化硅材料。处理气体可被激发并转化成含Si与O离子的等离子体,这些离子将反应而将氧化硅层沉积至基板上(403)。在沉积氧化硅之后,等离子体净化及气体净化腔室(405)。可使用和上述图第7的步骤305一样的净化工艺。
在等离子体及气体净化处理腔室之后,可将硅层沉积至氧化硅层上(407)。腔室中的压力可为约0.5托耳至10托耳。处理腔室经加热达约400℃至650℃。施加至电极的高频或RF功率可为约50W至700W,并且基板与电极之间的间隔可为约200密耳至800密耳。处理气体可包括SiH4或其它含硅分子与He。SiH4的流率可为约50sccm至2000sccm,并且He的流率可为约1000sccm至20000sccm。处理气体被激发而形成硅离子,硅离子与电子反应而沉积硅层。系统将决定是否需要附加层(409),并且可净化PECVD腔室(415),以备进行额外沉积。可重复工艺步骤403至411,直到已沉积所有氧化硅与硅层。在已沉积所有层之后(409),使PECVD腔室处于周围压力,并且移出基板(415)。就3D内存而言,在基板上应沉积至少八层材料。可在其它处理腔室中进行额外处理。
参照图9,在一个实施例中,可将硅与掺杂硅的层堆栈结构沉积在基板上。把基板放到PECVD处理腔室内,并且施加真空(501)。将硅材料沉积至基板上(503)。以上参考图8中的步骤403所述的硅沉积工艺可用来沉积硅层。在沉积硅材料之后,等离子体净化及净化处理腔室(505)。上述图7中的步骤305中的净化工艺可用来净化PECVD腔室。
接着可通过将硅与掺杂剂前驱物输送到处理腔室来将掺杂硅材料沉积至硅层上(507)。掺杂硅包括硅和提高硅导电率的杂质。导电率不仅会随杂质原子数量改变,还会因杂质原子类型而不同。掺杂硅沉积处理类似于上述在图8的步骤407中叙述的硅沉积。处理气体可包括SiH4与He、以及其它掺杂剂气体,以形成p型或n型掺杂硅层。掺杂剂前驱物气体与SiH4和H2混合并将杂质加入沉积硅晶格中。
为了制造p型掺杂硅,需要硼(B)掺杂剂前驱物气体。适合的掺杂剂前驱物气体包括三甲基硼(B(CH3)3;TMB)和二硼烷(B2H6)或其它含硼分子,掺杂剂前驱物气体可伴随SiH4和He流入处理腔室。在其它实施例中,可使用其它包括硼的处理气体。p型掺杂剂气体与SiH4和He混合并将杂质加入沉积硅晶格中。这些B掺杂剂前驱物气体的流率可为约1sccm至50sccm。B掺杂剂前驱物气体的流率和p型掺杂硅层中的B掺杂剂浓度可以成比例。在沉积所需厚度的p型硅之后,停止沉积。在一个实施例中,硼可从p型掺杂硅层扩散。为了使硼扩散最小化,可在p型掺杂硅层旁沉积富含氮的材料薄层。富含氮的材料可防止硼从掺杂硅层扩散,使所需量的硼将留在掺杂硅中。
为了制造n型掺杂硅,需要磷(P)掺杂剂前驱物气体。适合的P掺杂剂前驱物气体包括膦(PH3)和其它含磷分子,掺杂剂前驱物气体可伴随SiH4和He按约1sccm至50sccm的流率流入处理腔室。在其它实施例中,可使用其它含磷分子。PH3的流率和n型掺杂硅层中的P掺杂剂浓度可以成比例。在沉积所需厚度的n型硅之后,停止沉积处理。
在沉积掺杂硅之后,系统可决定是否需要附加层(509),且可净化PECVD处理腔室(511),并且重复步骤503至511,直到已沉积所需层数(509),所需层数可为四层或更多层。所有层在同一PECVD处理腔室中沉积,且在整个层沉积过程中,处理腔室维持真空。在沉积硅和掺杂硅层之后,自PECVD处理腔室移除真空,并且可移出基板(515)。接着可对晶圆进行额外处理。
在另一实施例中,可利用不同工艺来将硅与掺杂硅的层堆栈结构沉积至晶圆上。藉由开启和关闭掺杂剂前驱物的流率,硅等离子体和掺杂硅等离子体可背靠背运行。参照图10,把基板放到PECVD处理腔室内,并且施加真空(501)。将RF功率施加至电极。如关于图9所述的,硅前驱物气体流入处理腔室而产生等离子体,并且硅层沉积至晶圆上。在沉积所需厚度的硅之后,沉积掺杂硅。不进行等离子体及气体净化,而是将掺杂剂前驱物加入至硅前驱物(506),并且将掺杂硅沉积至硅层上(507)。在沉积所需厚度的掺杂硅之后,停止掺杂剂前驱物(512),并且将附加硅层沉积至晶圆上(503)。重复工艺步骤503至512,直到沉积所有硅/掺杂硅层为止(509)。在已沉积硅和掺杂硅层之后,可自PECVD处理腔室移除真空,并且移出基板(515),接着可对晶圆进行额外处理。由于不需要等离子体及气体净化步骤,因此以所述方式进行晶圆的硅/掺杂硅的层堆栈结构沉积处理可更有效率。
在一个实施例中,可期望减少硅和掺杂硅层的氢含量。减少氢含量可减少在后续退火处理晶圆期间逸出气体。氢含量较少还可防止层堆栈结构或硬罩结构中的界面分层,硬罩结构可含有碳系膜、金属氮化物和介电氮化物。
参照图11,在一个实施例中,硅与掺杂硅的层堆栈结构可沉积在基板上。把基板放到PECVD处理腔室内,并且施加真空(801)。将硅材料沉积至基板上(803)。以上参考图8中的步骤403所述的硅沉积工艺可用来沉积硅层。在沉积硅材料之后,可净化处理腔室(805)。以上在图7中的步骤305中所述的净化工艺可用来净化PECVD腔室。
氮化硅层不直接沉积在硅层上,而是可通过将氧化硅处理气体引入PECVD处理腔室内,同时将RF功率施加至电极,来将氧化硅薄层沉积至硅层上(807)。氧化硅可当作黏着剂,该黏着剂用以改善硅与氮化硅层之间的键合,进而防止界面在后续退火处理期间分层。氧化硅薄膜沉积在硅层上。氧化硅沉积工艺参照图7的步骤303描述。接着等离子体及气体净化腔室(809),并且将氮化硅层沉积至氧化硅层上(811)。可对氮化硅进行氮化硅处理来改善表面粗糙度,藉以改善界面(815),并且可重复等离子体及气体净化工艺(817)。在一个实施例中,步骤807、809、811、815和817分别参照图7步骤303、305、307、311和313描述。
可混合和匹配材料沉积。参照下表1,列出用于层堆栈结构的可能的第一与第二材料。视应用需求而定,可改变第一与第二材料层的沉积顺序,即相反。
第一材料 氧化硅 氧化硅
第二材料 氮化硅 掺杂硅 氮化硅
表1
参照图12,图示用以将第一材料与第二材料的层堆栈结构原位沉积至基板上的流程图。把基板放到PECVD腔室内(601),并且将第一材料沉积至基板上(603)。等离子体净化及净化PECVD腔室(605)。如果有需要,则可对第一材料层进行等离子体处理(606)。将第二材料沉积至第一材料上(607)。将附加层沉积至基板上(609)。如果有需要,则可对第二材料层进行等离子体处理(611)。再次净化PECVD腔室(613),并且重复工艺步骤603至613,以将附加层沉积至基板上。在沉积所有层之后,使PECVD腔室处于周围压力,并且移出基板(615)。可额外进行蚀刻及沉积处理,以在基板上制造3D存储设备。
应理解本发明系统已参照特定实施例说明,然而,在不脱离本发明系统的范围的情况下,可增添、删除以及更改这些实施例。虽然所述系统包括各种部件,但应理解这些部件和所述构造可加以修改并且按不同的其它构造重新排列。

Claims (20)

1.一种方法,包含:
(a)将基板放到等离子体增强化学气相沉积(PECVD)腔室中;
(b)将第一处理气体激发成第一等离子体;
(c)从所述第一等离子体将一层第一材料沉积至所述基板上;
(d)等离子体净化所述PECVD腔室,并且利用所述等离子体净化,露出待调理供沉积用的所述第一材料的表面;
(e)气体净化所述PECVD腔室,以移除所有气体污染物;
(f)将第二处理气体激发成第二等离子体;
(g)从所述第一等离子体将一层第二材料沉积至所述基板上;
(h)等离子体净化所述PECVD腔室,并且利用所述等离子体净化,露出待调理供沉积用的所述第二材料的表面;
(i)气体净化所述PECVD腔室,以移除气体污染物;
(j)在所述步骤(b)至(i)的整个过程中,维持所述PECVD腔室中的真空;以及
(k)重复所述步骤(b)至(j),直到在所述基板上已沉积一预定层数的所述第一材料和所述第二材料。
2.如权利要求1所述的方法,其特征在于,所述第一材料的所述预定层数为至少8,并且所述第二材料的所述预定层数为至少8。
3.如权利要求1所述的方法,其特征在于,所述PECVD腔室的所述气体净化包括使所述PECVD腔室的一部分暴露于净化气体,所述净化气体选自由氨气(NH3)、氮气(N2)、一氧化二氮(N2O)和上述气体的混合物所组成群组的气体。
4.如权利要求1所述的方法,其特征在于,所述第一材料是硅,并且所述第一处理气体包括含硅分子。
5.如权利要求1所述的方法,其特征在于,所述第一材料是氧化硅,并且所述第一处理气体包括含硅分子和含氧分子。
6.如权利要求1所述的方法,其特征在于,所述第一材料是氮化硅,并且所述第一处理气体包括含硅分子和含氮分子。
7.如权利要求6所述的方法,进一步包含:
将第三处理气体激发成第三等离子体,以在所述步骤(c)与所述步骤(d)之间进行所述氮化硅的表面处理。
8.如权利要求7所述的方法,其特征在于,所述第三处理气体包括氨气(NH3)和氮气(N2)。
9.一种方法,包含:
(a)在真空下,将基板放到等离子体增强化学气相沉积(PECVD)腔室中;
(b)将第一处理气体激发成第一等离子体;
(c)从所述第一等离子体将一层第一材料沉积至所述基板上,所述第一材料具有第一应力;
(d)等离子体净化以清洁所述PECVD腔室,并且露出所述第一材料的表面以调理供沉积用;
(e)气体净化所述PECVD腔室,以移除气体污染物;
(f)将第二处理气体激发成第二等离子体;
(g)将一层第二材料沉积至所述基板上,所述第二材料具有第二应力,所述第二应力的强度大约等于所述第一材料的所述第一应力的强度,且所述第二应力的方向与所述第一材料的所述第一应力的方向相反;
(h)等离子体气体净化所述PECVD腔室,并且露出待调理供沉积用的所述第二材料的表面;
(i)气体净化所述PECVD腔室,以移除气体污染物;
(j)在所述步骤(b)至(i)的整个过程中,维持所述PECVD腔室中的真空;以及
(k)重复所述步骤(b)至(j),直到在所述基板上已沉积一预定层数的所述第一材料和所述第二材料。
10.如权利要求9所述的方法,其特征在于,所述第一材料的所述预定层数为8或更多,并且所述第二材料的所述预定层数为8或更多。
11.如权利要求9所述的方法,其特征在于,所述PECVD腔室的所述气体净化包括使所述PECVD腔室的一部分暴露于净化气体,所述净化气体选自由氨气(NH3)、氮气(N2)、一氧化二氮(N2O)和上述气体的混合物所组成群组的气体。
12.如权利要求9所述的方法,其特征在于,所述第一材料是硅,并且所述第一处理气体包括含硅分子。
13.如权利要求9所述的方法,其特征在于,所述第一材料是氧化硅,并且所述第一处理气体包括含硅分子和含氧分子。
14.如权利要求9所述的方法,其特征在于,所述第一材料是氮化硅,并且所述第一处理气体包括含硅分子和含氮分子。
15.如权利要求14所述的方法,进一步包含:
将第三处理气体激发成第三等离子体,以在所述步骤(c)与所述步骤(d)之间进行所述氮化硅的表面处理。
16.如权利要求15所述的方法,其特征在于,所述第三处理气体包括氨气(NH3)和氮气(N2)。
17.一种方法,包含:
(a)在真空下,将基板放到等离子体增强化学气相沉积(PECVD)腔室中;
(b)将第一处理气体激发成第一等离子体;
(c)从所述第一等离子体将一层第一材料沉积至所述基板上;
(d)将掺杂剂前驱物加到所述第一处理气体中;
(e)将所述第一处理气体与所述掺杂剂前驱物激发成第二等离子体;
(f)从所述第二等离子体将一层掺杂硅沉积至所述基板上;
(g)在所述步骤(b)至(f)的整个过程中,维持所述PECVD腔室中的真空;以及
(h)重复所述步骤(b)至(g),直到在所述基板上已沉积一预定层数的所述第一材料和所述第二材料。
18.如权利要求17所述的方法,其特征在于,所述第一材料的所述预定层数为至少8,并且所述第二材料的所述预定层数为至少8。
19.如权利要求17所述的方法,其特征在于,所述第二材料是n型掺杂硅,并且所述掺杂剂前驱物包括含硼分子。
20.如权利要求17所述的方法,其特征在于,所述第二材料是p型掺杂硅,并且所述掺杂剂前驱物包括含磷分子。
CN201180044315.0A 2010-10-06 2011-09-28 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈 Active CN103109352B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/899,401 2010-10-06
US12/899,401 US8076250B1 (en) 2010-10-06 2010-10-06 PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
PCT/US2011/053730 WO2012047697A2 (en) 2010-10-06 2011-09-28 Pecvd oxide-nitride and oxide-silicon stacks for 3d memory application

Publications (2)

Publication Number Publication Date
CN103109352A true CN103109352A (zh) 2013-05-15
CN103109352B CN103109352B (zh) 2016-05-04

Family

ID=45092654

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180044315.0A Active CN103109352B (zh) 2010-10-06 2011-09-28 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈

Country Status (6)

Country Link
US (1) US8076250B1 (zh)
JP (1) JP5808814B2 (zh)
KR (1) KR101944393B1 (zh)
CN (1) CN103109352B (zh)
TW (1) TWI498943B (zh)
WO (1) WO2012047697A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN108376652A (zh) * 2018-03-05 2018-08-07 长江存储科技有限责任公司 晶圆键合方法、晶圆键合结构及调整晶圆变形量的方法
CN108425103A (zh) * 2018-03-30 2018-08-21 德淮半导体有限公司 炉管设备及其沉积方法
CN109216154A (zh) * 2017-07-03 2019-01-15 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
CN110235248A (zh) * 2017-04-27 2019-09-13 应用材料公司 用于3d nand应用的低介电常数氧化物和低电阻op堆叠
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110892504A (zh) * 2017-07-06 2020-03-17 应用材料公司 形成多个沉积半导体层的堆叠结构的方法

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9373675B2 (en) * 2012-02-06 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor structure and method of forming the same
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN102856174B (zh) * 2012-09-19 2014-12-31 英利能源(中国)有限公司 氮化硅的膜制备方法、具有氮化硅膜的太阳能电池片及其制备方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140117511A1 (en) 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20160083049A (ko) * 2013-11-04 2016-07-11 어플라이드 머티어리얼스, 인코포레이티드 산화물-실리콘 스택을 위한 접착 개선들
KR102275051B1 (ko) * 2014-01-21 2021-07-07 어플라이드 머티어리얼스, 인코포레이티드 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201410317D0 (en) * 2014-06-10 2014-07-23 Spts Technologies Ltd Substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10515905B1 (en) * 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
SG11202010548YA (en) 2018-07-31 2021-02-25 Applied Materials Inc On stack overlay improvement for 3d nand
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP2020191427A (ja) * 2019-05-23 2020-11-26 東京エレクトロン株式会社 ハードマスク、基板処理方法及び基板処理装置
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI809790B (zh) * 2022-03-29 2023-07-21 南亞科技股份有限公司 半導體設備的操作方法
GB202213794D0 (en) * 2022-09-21 2022-11-02 Spts Technologies Ltd Deposition of thick layers of silicon dioxide

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274496B1 (en) * 1999-04-20 2001-08-14 Tokyo Electron Limited Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
US6338874B1 (en) * 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US20060211224A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
CN101197278A (zh) * 2007-12-14 2008-06-11 电子科技大学 一种改变薄膜力学及光学性能的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2737111B2 (ja) * 1987-03-27 1998-04-08 キヤノン株式会社 光起電力素子及びその製造方法
JPS6447032A (en) * 1987-08-18 1989-02-21 Oki Electric Ind Co Ltd Formation of surface protective film for semiconductor device
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP3332467B2 (ja) * 1993-04-06 2002-10-07 三洋電機株式会社 多結晶半導体の製造方法
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
WO2003032397A2 (en) * 2001-07-24 2003-04-17 Cree, Inc. INSULTING GATE AlGaN/GaN HEMT
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
US6849509B2 (en) 2002-12-09 2005-02-01 Intel Corporation Methods of forming a multilayer stack alloy for work function engineering
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2008020267A2 (en) * 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7670963B2 (en) 2007-05-25 2010-03-02 Cypress Semiconductor Corportion Single-wafer process for fabricating a nonvolatile charge trap memory device
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7825479B2 (en) * 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6338874B1 (en) * 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US6274496B1 (en) * 1999-04-20 2001-08-14 Tokyo Electron Limited Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
US20060211224A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
CN101197278A (zh) * 2007-12-14 2008-06-11 电子科技大学 一种改变薄膜力学及光学性能的方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110235248A (zh) * 2017-04-27 2019-09-13 应用材料公司 用于3d nand应用的低介电常数氧化物和低电阻op堆叠
CN110235248B (zh) * 2017-04-27 2024-03-26 应用材料公司 用于3d nand应用的低介电常数氧化物和低电阻op堆叠
CN109216154A (zh) * 2017-07-03 2019-01-15 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
CN110892504A (zh) * 2017-07-06 2020-03-17 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
CN110892504B (zh) * 2017-07-06 2023-10-13 应用材料公司 形成多个沉积半导体层的堆叠结构的方法
CN107564800A (zh) * 2017-08-31 2018-01-09 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
CN108376652A (zh) * 2018-03-05 2018-08-07 长江存储科技有限责任公司 晶圆键合方法、晶圆键合结构及调整晶圆变形量的方法
CN108376652B (zh) * 2018-03-05 2019-08-30 长江存储科技有限责任公司 晶圆键合方法、晶圆键合结构及调整晶圆变形量的方法
CN108425103A (zh) * 2018-03-30 2018-08-21 德淮半导体有限公司 炉管设备及其沉积方法
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110581067B (zh) * 2018-06-08 2023-11-21 东京毅力科创株式会社 蚀刻方法及蚀刻装置

Also Published As

Publication number Publication date
KR101944393B1 (ko) 2019-01-31
US8076250B1 (en) 2011-12-13
TW201222638A (en) 2012-06-01
TWI498943B (zh) 2015-09-01
WO2012047697A3 (en) 2012-06-28
CN103109352B (zh) 2016-05-04
WO2012047697A2 (en) 2012-04-12
KR20130129930A (ko) 2013-11-29
JP5808814B2 (ja) 2015-11-10
JP2013546169A (ja) 2013-12-26

Similar Documents

Publication Publication Date Title
CN103109352B (zh) 用于3d内存应用的pecvd氧化物-氮化物以及氧化物-硅堆栈
US20210320003A1 (en) Method of forming a nitrogen-containing carbon film and system for performing the method
KR102045674B1 (ko) 필름 스택의 인-시츄 증착
US10699903B2 (en) Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
US20200251328A1 (en) Method of topology-selective film formation of silicon oxide
US8709551B2 (en) Smooth silicon-containing films
TWI636505B (zh) 平滑矽膜之電漿促進化學氣相沉積
US20180138036A1 (en) Method for high modulus ald sio2 spacer
US8895415B1 (en) Tensile stressed doped amorphous silicon
US20160260602A1 (en) Adhesion improvements for oxide-silicon stack
CN106169420A (zh) 用于调节薄膜中的残余应力的方法
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
CN107675250A (zh) 选择性沉积外延锗合金应力源的方法与设备
CN104220637A (zh) 用于半导体器件应用的氮化硅膜
WO2020068139A1 (en) Asymmetric wafer bow compensation
US20230032481A1 (en) Station-to-station control of backside bow compensation deposition
WO2021060109A1 (ja) 成膜方法
JP7461926B2 (ja) 3d nandのためのon積層体オーバレイの改善

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant