TWI498943B - 用於3d記憶體應用的pecvd氧化物-氮化物及氧化物-矽堆疊 - Google Patents

用於3d記憶體應用的pecvd氧化物-氮化物及氧化物-矽堆疊 Download PDF

Info

Publication number
TWI498943B
TWI498943B TW100135318A TW100135318A TWI498943B TW I498943 B TWI498943 B TW I498943B TW 100135318 A TW100135318 A TW 100135318A TW 100135318 A TW100135318 A TW 100135318A TW I498943 B TWI498943 B TW I498943B
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
layers
layer
pecvd
Prior art date
Application number
TW100135318A
Other languages
English (en)
Other versions
TW201222638A (en
Inventor
Nagarajan Rajagopalan
Xinhai Han
Ji Ae Park
Tsutomu Kiyohara
Sohyun Park
Bok Hoen Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201222638A publication Critical patent/TW201222638A/zh
Application granted granted Critical
Publication of TWI498943B publication Critical patent/TWI498943B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Description

用於3D記憶體應用的PECVD氧化物-氮化物及氧化物-矽堆疊 【交互參照之相關申請案】
本申請案主張西元2010年10月6日提出申請、名稱為「用於3D記憶體應用的PECVD氧化物-氮化物及氧化物-矽堆疊(PECVD OXIDE-NITRIDE AND OXIDE-SILICON STACKS FOR 3D MEMORY APPLICATION)」的美國專利申請案第12/899,401號的優先權。上述文獻內容以引用方式併入本文。
本發明係關於半導體基板處理系統,且更特別地,本發明係關於沉積材料層堆疊結構的製程。
半導體基板處理系統通常含有處理腔室,處理腔室具有基座,以將半導體基板支撐在腔室內鄰近處理區域處。腔室構成真空封閉區而在某種程度上界定處理區域。氣體分配組件或噴淋頭提供一或更多種處理氣體至處理區域。氣體接著經加熱及/或激發而形成電漿,以於基板上進行一些製程。該等製程包括電漿增強化學氣相沈積(PECVD),藉以沉積膜至基板上。
三維(3D)記憶體可由沉積於基板上的交替膜材料層堆疊結構製成。例如,3D記憶體可包括氧化物與氮化物膜的交替層或氧化物與矽膜的交替層。該等堆疊結構可包括多層第一材料和第二材料。為形成該等層堆疊結構,把基板放到第一PECVD腔室內,及施加真空至腔室。前驅物氣體用來產生電漿,第一材料沉積在基板上。以惰氣(例如氮氣)淨化腔室,接著將基板移出第一PECVD腔室。接著將基板傳送到第二PECVD腔室。施加真空至第二PECVD腔室,前驅物氣體用來產生電漿,第二材料沉積在基板上的第一材料上。移開基板,反覆進行製程,直到基板上形成所需層數。
上述製程的問題在於,在不同處理腔室中沉積各材料層十分沒有效率。故需要在單一處理腔室中形成多層不同材料又不需破處理腔室真空的方法。
在一實施例中,製造交替材料層堆疊結構的製程係在單一PECVD處理腔室中原位進行。基板放到PECVD處理腔室的接地基座上。關閉處理腔室的門以密封腔室,及施加真空至腔室。在一實施例中,電極按電容耦合構造裝設在基座上。交流射頻(RF)功率施加至電極,而於基板與電極間產生電場。第一組處理氣體流入處理腔室,並在PECVD腔室內激發成第一電漿。電漿具有相當多比例的離子化原子或分子,原子或分子釋出電子。該等高能電子會誘發第一處理氣體分子解離而產生大量自由基。如此將沉積第一材料至基板上。
沉積第一材料層後,進行電漿淨化,以清潔PECVD腔室及調理第一材料層表面,使第一材料層與將沉積的下一材料層間有良好界面。接著進行氣體淨化,以清除殘餘氣體。第二組處理氣體流入處理腔室,並在PECVD腔室內激發成第二電漿。第二材料層從第二電漿沉積在第一材料上。電漿淨化清潔PECVD腔室及調理第二材料層表面。接著進行氣體淨化,以移除第二處理氣體。
反覆進行所述沉積第一與第二材料層的製程,直到基板上已沉積所需層數。由於不同材料沉積係在同一PECVD處理腔室中進行,且在整個沉積過程,PECVD處理腔室維持真空,故可增進製程效率。因不需在兩個不同PECVD處理腔室間傳送基板來沉積不同材料層,故可節省許多時間。
沉積的材料層堆疊結構取決於3D記憶體製造類型。可形成層堆疊結構的材料實例包括:氧化矽/氮化矽、氧化矽/矽、矽/摻雜矽、矽/氮化矽和其他材料。沉積層堆疊結構後,將基板移出PECVD處理腔室,及進行額外處理,以製造3D記憶裝置。在一些實施例中,記憶裝置需要8x、16x、24x或更多層材料。
沉積材料層至基板上的潛在問題為,各層會產生施加於基板的拉伸應力或壓縮應力。基板反抗來自沉積層的應力,造成基板應變變形,導致基板上表面彎曲。基板上表面變形會造成後續微影處理產生誤差。為最小化基板變形,可調整沉積材料層,使基板上的淨應力很小。更特定言之,可調整兩種材料的應力,使兩種材料的應力強度實質相等且方向相反。沉積彼此相鄰的層組時,相等、但相反的拉伸或壓縮應力將互相抵消,如此基板的淨應力很小,因而基板不會發生任何變形。
雖然淨應力近似零,但因應力相反,相鄰層間應力可能很大。若應力太大,則可能打斷相鄰層間鍵合,以致部分層堆疊結構分層。為避免分層,相鄰材料鍵合必須很強。在一實施例中,沉積材料後,於材料的露出表面施行電漿處理,以改善相鄰層間鍵合界面及防止分層。
參照第1圖,在一實施例中,多層堆疊結構101形成在基板100上,基板100具有第一材料層103和第二材料層105。後續層可重複第一材料層103與第二材料層105的交替圖案而得。在一個實施例中,第一材料為氧化物,第二材料為氮化物。在其他實施例中,第一/第二材料堆疊結構為氧化物/矽、矽/摻雜矽或矽/氮化物。所有材料組合物可用於BiCS(Bit-Cost Scalable)、TCAT(Terabit Cell Array Transistor)和其他3D記憶體結構。在其他實施例中,第一/第二材料堆疊結構為其他材料組合物。第一與第二材料層沉積至基板上的順序亦可相反。
層數取決於製造的記憶裝置。在一實施例中,堆疊數量可為8x或16x或24x或更多,其中8、16、24或更多層的各堆疊結構相當於一個記憶裝置。兩個不同材料層構成各堆疊結構,故8x堆疊數量的對應層數可為16,16x堆疊數量可有32層,24x堆疊數量可有48層,更多堆疊數量可具更多層數。
參照第2圖,第2圖圖示PECVD處理腔室201。處理腔室201包括基座211、處理氣體歧管213、處理氣源215、電極217、發射交流RF電源的RF功率源219、加熱器221和淨化氣源222。在一實施例中,電極217設在基座211正上方,基座211按電容耦合構造電氣接地。在一實施例中,電極217為噴淋頭結構,噴淋頭結構具有處理氣體流動路徑。處理和淨化氣體流過歧管213和電極217而至處理腔室201的基座上方。
處理時,將基板100放到基座211上,及施加真空至PECVD處理腔室201。施予加熱器221能量,以加熱基板100。第一組處理氣體通過歧管213而進入處理腔室201。電極217由RF功率源219供給能量,以於電極217與接地基座211間產生電場。在一實施例中,基座211設在可變高度調整器上,可變高度調整器能控制基板100頂部與電極217間的間隔。RF電場激發第一組處理氣體而產生電漿225。電漿225具有相當多比例的離子化原子或分子,原子或分子釋出電子。高能電子會誘發前驅物分子解離而產生大量自由基。如此將沉積材料至基板100上。一旦沉積預定厚度的第一材料,即可停止沉積。 第一材料層厚度可為100埃(Å)至1000Å。
沉積第一材料至基板100上後,電漿淨化PECVD處理腔室201。淨化氣體從淨化氣源222流入歧管213和處理腔室201。供給電極217和基座211能量,以產生淨化氣體電漿。可使用各種淨化氣體,包括氨氣(NH3 )、氮氣(N2 )、一氧化二氮(N2 O)、氫氣(H2 )、氬氣(Ar)和其他適合的電漿淨化氣體。淨化製程期間,維持處理腔室內的熱和壓力。電漿淨化調節露出的層表面供附加沉積用。已調理表面造成平滑的層間界面與較佳的層間黏著性和較佳的微粒控制。在一些實施例中,為獲得較佳層鍵合期有較粗糙的界面,並進行不同或額外的電漿淨化製程。完成電漿淨化後,關閉供給電極217和基座211能量,淨化氣體從淨化氣源222流入歧管213和處理腔室201,以移除所有氣體污染物。在一實施例中,淨化製程期間,停止流入前驅物氣體的一或更多個組分。例如,若處理氣體包括甲烷(SiH4 )與N2 O的混合物,則淨化氣體可只包括N2 O,且關閉SiH4 流入。在其他實施例中,可使用一或更多種不同的淨化氣體。
完成電漿與氣體淨化後,沉積第二材料至基板上。電極217由RF功率源219供給能量,以於電極217與接地基座211間產生電場,所述電場激發第二組處理氣體而產生電漿225。一旦沉積預定厚度的第二材料,即可停止沉積。第二材料層厚度可為100Å至1000Å。沉積第二材料層後,如上所述,電漿淨化PECVD腔室。如上所 述,接著移除供給電極217能量,及氣體淨化PECVD腔室。一旦完成電漿及氣體淨化,反覆進行沉積第一材料與第二材料的製程,直到已沉積所需層為止。接著將基板100移出PECVD腔室201,以進行額外處理。
所述第一材料與第二材料層沉積製程具高時間與能量效率,此係因為不同材料係在同一PECVD處理腔室中沉積。因不需在兩個PECVD處理腔室間傳送晶圓來沉積第一材料與第二材料層,故可節省許多時間。例如,所述製程節省的時間比採用兩個PECVD處理腔室的相同沉積製程快超過50%。又,因只需單一PECVD處理腔室而不需破施加至處理腔室的真空及再施予各沉積層,因此所述層堆疊結構沉積製程將更具能量效率。
另一可能發生問題在於不同材料沉積至基板上後會引發壓縮或拉伸應力。此應力會造成基板彎曲。參照第3圖,材料層291沉積於基板100上。第一材料291接著膨脹而造成壓縮應力295。基板100反抗第一材料層291的壓縮應力295,導致基板100的邊緣往下彎曲。同樣地,參照第4圖,第二材料層293沉積於基板100上,第二材料293引發拉伸應力297。基板100亦將反抗拉伸應力297,導致基板100的邊緣往上彎曲。由於製造容差必須非常精確,故進行後續微影處理時,任何基板彎曲或基板凸塊都會引起對準問題。錯準會產生製造誤差和有缺陷的裝置建構。
為修正此問題,在一實施例中,可調整各沉積層施加 至基板的應力。應力由沉積材料決定。亦可調整沉積處.理條件,以將應力調整成限制範圍內,沉積處理條件包括處理溫度、前驅物氣體流率、氣體壓力和電漿密度。例如,較冷處理溫度會產生壓縮應力,較熱處理溫度會產生拉伸應力。較低電漿壓力會增強離子轟擊反應物種而產生壓縮應力,反之,較高電漿壓力會產生拉伸應力。藉由提高RF功率或縮小基板上方間隔,可提高電漿密度,如此將產生更多離子轟擊反應物種而產生壓縮應力;較低電漿密度會產生拉伸應力。藉由控制溫度和電漿密度,可預測沉積材料的應力。
參照第5圖,第5圖圖示多層堆疊結構101的截面,第6圖圖示多層堆疊結構101的上視圖。第一材料層291的壓縮應力295實質等於第二材料層293的拉伸應力297,第二材料層293沉積在第一材料層291上。該等應力295、297呈相反方向,因而可有效互相抵消。由於拉伸與壓縮層的數量相同,故基板100的總淨應力近似零。
例如,第一材料層291可為氧化矽,第一材料層291產生壓縮應力。第二層293可為氮化矽層,第二層293產生拉伸應力。氮化矽第二層293產生的拉伸應力強度實質等於氧化矽第一層291的壓縮應力。各對層291、293沉積在彼此的頂部而形成層堆疊結構101,層堆疊結構101具有平衡應力和小於150兆帕(MPa)的低總淨應力。如此導致基板100將不會因沉積層應力而應變變形。因應力達平衡,故可將變形減至最少,是以基板100的上表面將變得平滑又平坦。沉積層291、293後,進行精確微影處理需要平坦的基板表面,以形成半導體裝置,例如BiSC 3D記憶體。彎曲或依其他方式變形的基板100將造成微影誤差。
如所述,各種材料組合物可如層般沉積至基板100上。該等材料各自可以特定處理氣體和特定PECVD操作條件沉積。用於沉積層堆疊結構的製程繪示於第7至10圖,第7至10圖為沉積製程流程圖,用以沉積多層形式的不同材料組合物至基板上。
參照第7圖,第7圖圖示用以原位沉積氧化矽與氮化矽層堆疊結構至基板上的流程圖。把基板放到PECVD處理腔室內,及施加真空至腔室(步驟301)。腔室的真空壓力可為約0.5托耳至10托耳。處理腔室經加熱達約180℃至650℃。施加至電極的高頻或RF功率可為約45瓦(W)至1000 W,基板與電極間的間隔可為約200密耳至800密耳。第一處理氣體可包括SiH4 與N2 O或其他含矽分子與含氧分子。SiH4 的流率可為約20標準立方公分每分鐘(sccm)至1000 sccm,N2 O的流率可為約1000 sccm至20000 sccm。SiH4 與N2 O將被激發而轉化成含Si與O離子的電漿。離子反應形成氧化矽層沉積至基板上(步驟303)。沉積所需厚度的氧化矽後,停止沉積。
在一實施例中,將SiH4 引入處理腔室前,可開啟電漿,以減少氧化矽表面的表面粗糙度。藉由縮短SiH4 物種的駐留時間,晶圓表面將更為平滑,進而改善界面與後續沉積層間鍵合。
沉積氧化矽後,電漿淨化及氣體淨化PECVD處理腔室。溫度可為約180℃至650℃,真空壓力可為約0.5托耳至10托耳。基板與電極間的間隔可為約200密耳至800密耳。N2 O淨化氣體可按約2000 sccm至30000 sccm的流率流過歧管而進入處理腔室(步驟305)。以100 W至1000 W的功率供給電極和基座能量,以產生淨化氣體電漿。電漿淨化和N2 O淨化清潔處理腔室而造成平滑的層間界面、較佳的沉積層間黏著性和較佳的微粒控制。
完成淨化後,沉積氮化矽層至氧化矽層上。腔室壓力可為約0.5托耳至10托耳。處理腔室經加熱達約180℃至650℃。施加至電極的高頻或RF功率可為約50 W至700 W,基板與電極間的間隔可為約200密耳至800密耳。第二處理氣體可包括SiH4 、NH3 與N2 或其他含矽分子與含氮分子。SiH4 的流率可為約20 sccm至1000 sccm,NH3 的流率可為約50 sccm至1000 sccm,N2 的流率可為約2000 sccm至30000 sccm。SiH4 、NH3 與N2 將被激發而轉化成含Si與N離子的電漿,該等離子將反應而沉積氮化矽層至基板上(步驟307)。沉積所需厚度的氮化矽後,停止沉積。系統接著將決定是否需沉積附加層(步驟309)。
沉積氮化矽後,於氮化矽層上進行電漿處理(步驟311),以改善氮化矽上的鍵合界面表面,使氧化矽層得以牢牢沉積在氮化矽上。雖然基板的淨應力近似零,但若任何相鄰層間界面鍵合很弱,則相鄰層間的個別應力可能導致分層。層堆疊結構的單一部分分層將造成裝置缺陷。故相鄰層間需有強界面鍵合,以防分層。在一實施例中,氮化矽層上進行電漿處理亦可改善表面粗糙度,因此與沉積於氮化矽層上的材料間將有強鍵合。
若相鄰層間界面鍵合很強,又層中應力強度相等且方向相反,基板上的淨應力小於150 MPa,則基板將不會因層中應力而變形。由於基板未變形,故沉積層堆疊結構後,上表面將變得平滑又平坦。沉積氧化層與氮化層後,進行精確微影處理需要平滑的表面,以形成半導體裝置,例如BiSC和TCAT 3D記憶體。層堆疊結構101的上表面有凸塊或彎曲會造成微影誤差,導致製造裝置產生缺陷。
在一實施例中,電漿處理氣體可包括NH3 與N2 。處理腔室經加熱達約180℃至650℃,真空壓力可為約0.5托耳至10托耳。施加至電極的高頻或RF功率可為約200 W至2000 W,基板與電極間的間隔可為約200密耳至800密耳。NH3 的流率可為約50 sccm至1000 sccm,N2 的流率可為約2000 sccm至30000 sccm。NH3 與N2 將被激發成電漿,該電漿處理氮化矽層表面,以提供所需表面粗糙度而改善界面。已調理表面提供與待沉積氧化矽層間的良好界面鍵合。層間鍵合強可防止分層及避免基板彎曲或變形。
進行氮化矽電漿處理後,淨化處理腔室(步驟313)。步驟313的淨化製程可和上述步驟305中按約2000 sccm至30000 sccm的流率使用N2 淨化氣體的製程一樣。接著可反覆進行製程步驟303至313,直到基板上已沉積所需層數的氧化層和氮化層(步驟309)。
在3D記憶裝置中,以所述方式沉積的層數和垂直堆疊的記憶體電晶體數量成比例。在一實施例中,8、16、24或更多電晶體可垂直排列在基板上。每一電晶體可能需要一對相鄰層。故所需層數可為16、32或48或更多。基板上已沉積所需材料層後,使PECVD處理腔室處於周圍壓力,及將基板移出PECVD處理腔室(步驟315),以進行進一步處理。
參照第8圖,第8圖圖示用以原位沉積氧化矽與矽層堆疊結構至基板上的流程圖。把基板放到PECVD處理腔室內,及施加真空至腔室(步驟401)。可以和上述第7圖步驟303一樣的方式,採取相同操作條件沉積氧化矽材料。處理氣體被激發而轉化成含Si與O離子的電漿,該等離子將反應而沉積氧化矽層至基板上(步驟403)。沉積氧化矽層後,電漿淨化及氣體淨化腔室(步驟405)。可採行和上述第7圖步驟305一樣的淨化製程。
電漿及氣體淨化處理腔室後,沉積矽層至氧化矽層上(步驟407)。腔室壓力可為約0.5托耳至10托耳。處理腔室經加熱達約400℃至650℃。施加至電極的高頻或RF功率可為約50 W至700 W,基板與電極間的間隔可為約200密耳至800密耳。處理氣體可包括SiH4 或其他含矽分子與He。SiH4 的流率可為約50sccm至2000sccm,He的流率可為約1000sccm至20000sccm。處理氣體被激發而形成含矽離子,矽離子與電子反應而沉積矽層。系統將決定是否需要附加層(步驟409),及淨化PECVD腔室(步驟411),以備進行額外沉積。反覆進行製程步驟403至411,直到已沉積所有氧化矽與矽層。已沉積所有層後(步驟409),使PECVD處理腔室處於周圍壓力,及移出基板(步驟415)。就3D記憶體而言,基板上應沉積至少八層材料。可在其他處理腔室中進行額外處理。
參照第9圖,在一實施例中,矽與摻雜矽層堆疊結構沉積於基板上。把基板放到PECVD處理腔室內,及施加真空(步驟501)。沉積矽材料至基板上(步驟503)。上述第8圖步驟403的矽沉積製程可用來沉積矽層。沉積矽材料後,電漿淨化及淨化處理腔室(步驟505)。上述第7圖步驟305的淨化製程可用來淨化PECVD腔室。
接著將矽與摻質前驅物輸送到處理腔室,以沉積摻雜矽材料至矽層上(步驟507)。摻雜矽包括矽和提高矽導電率的雜質。導電率不僅隨雜質原子數量改變,還會因雜質原子類型而不同。摻雜矽沉積處理類似上述第8圖步驟407敘述的矽沉積。處理氣體可包括SiH4 與He和其他摻質氣體,以形成p型或n型摻雜矽層。摻質前驅物氣體與SiH4 和H2 混合而將雜質加入沉積矽晶格中。
為製造p型摻雜矽,需要硼(B)摻質前驅物氣體。適合的摻質前驅物氣體包括三甲基硼(B(CH3 )3 ;TMB)和二硼烷(B2 H6 )或其他含硼分子,摻質前驅物氣體伴隨SiH4 和He流入處理腔室。在其他實施例中,可使用其他包括硼的處理氣體。p型摻質氣體與SiH4 和He混合而將雜質加入沉積矽晶格中。該等B摻質前驅物氣體的流率可為約1 sccm至50 sccm。B摻質前驅物氣體的流率和p型摻雜矽層中的B摻質濃度成比例。沉積所需厚度的p型矽後,停止沉積。在一實施例中,硼從p型摻雜矽層擴散。為最小化硼擴散,可於p型摻雜矽層旁沉積富含氮的材料薄層。富含氮的材料可防止硼從摻雜矽層擴散,使所需硼量留在摻雜矽中。
為製造n型摻雜矽,需要磷(P)摻質前驅物氣體。適合的P摻質前驅物氣體包括膦(PH3 )和其他含磷分子,摻質前驅物氣體伴隨SiH4 和He按約1 sccm至50 sccm的流率流入處理腔室。在其他實施例中,可使用其他含磷分子。PH3 的流率和n型摻雜矽層中的P摻質濃度成比例。沉積所需厚度的n型矽後,停止沉積處理。
沉積摻雜矽後,系統將決定是否需要附加層(步驟509),淨化PECVD處理腔室(步驟511),及反覆進行步驟503至511,直到已沉積所需層數(步驟509),所需層數可為四或更多層。所有層係在同一PECVD處理腔室中沉積,且在整個層沉積過程中,處理腔室維持真空。沉積矽和摻雜矽層後,自PECVD處理腔室移除真空,及移出基板(步驟515)。接著可於晶圓上進行額外處理。
在另一實施例中,可利用不同製程來沉積矽與摻雜矽層堆疊結構至晶圓上。藉由開啟及關閉摻質前驅物的流率,矽電漿和摻雜矽電漿可背靠背運行。參照第10圖,把基板放到PECVD處理腔室內,及施加真空(步驟501)。施加RF功率至電極。如同上述第9圖,矽前驅物氣體流入處理腔室而產生電漿,矽層沉積至晶圓上。沉積所需厚度的矽後,沉積摻雜矽。不進行電漿及氣體淨化,而是加入摻質前驅物至矽前驅物中(步驟506),以沉積摻雜矽至矽層上(步驟507)。沉積所需厚度的摻雜矽後,停止摻質前驅物(步驟512),沉積附加矽層至晶圓上(步驟503)。反覆進行製程步驟503至512,直到沉積所有矽/摻雜矽層為止(步驟509)。沉積矽和摻雜矽層後,自PECVD處理腔室移除真空,及移出基板(步驟515),接著可於晶圓上進行額外處理。由於不需電漿及氣體淨化步驟,故以所述方式進行晶圓的矽/摻雜矽層堆疊結構沉積處理將更有效率。
在一實施例中,期減少矽和摻雜矽層的氫含量。減少氫含量可減少後續退火處理晶圓時逸出氣體。氫含量較少亦可避免層堆疊結構或硬罩結構中的界面分層,硬罩結構可含有碳系膜、金屬氮化物和介電氮化物。
參照第11圖,在一實施例中,矽與摻雜矽層堆疊結構沉積於基板上。把基板放到PECVD處理腔室內,及施加真空(步驟801)。沉積矽材料至基板上(步驟803)。上述第8圖步驟403的矽沉積製程可用來沉積矽層。沉積矽材料後,淨化處理腔室(步驟805)。上述第7圖步驟305的淨化製程可用來淨化PECVD腔室。
氮化矽層不直接沉積在矽層上,而是將氧化矽處理氣體引入PECVD處理腔室內,同時施加RF功率至電極,以沉積氧化矽薄層至矽層上(步驟807)。氧化矽可當作黏著劑,用以改善矽與氮化矽層間鍵合,進而防止界面在後續退火處理期間分層。氧化矽薄膜沉積在矽層上。氧化矽沉積製程係參照第7圖步驟303描述。接著電漿及氣體淨化腔室(步驟809),及沉積氮化矽層至氧化矽層上(步驟811)。可於氮化矽上進行氮化矽處理來改善表面粗糙度,藉以改善界面(步驟815),並且反覆進行電漿及氣體淨化製程(步驟817)。在一實施例中,步驟807、809、811、815、817係分別參照第7圖步驟303、305、307、311、313描述。
可混合及匹配材料沉積。參照下表1,表1列出用於層堆疊結構的可能的第一與第二材料。視應用需求而定,可改變第一與第二材料層的沉積順序,即相反。
參照第12圖,第12圖圖示用以原位沉積第一材料與第二材料層堆疊結構至基板上的流程圖。把基板放到PECVD腔室內(步驟601),沉積第一材料至基板上(步驟603)。電漿淨化及淨化PECVD腔室(步驟605)。若有需要,於第一材料層上進行電漿處理(步驟606)。沉積第二材料至第一材料上(步驟607)。沉積附加層至基板上(步驟609)。若有需要,於第二材料層上進行電漿處理(步驟611)。再次淨化PECVD腔室(步驟613),並且反覆進行製程步驟603至613,以沉積附加層至基板上。沉積所有層後,使PECVD腔室處於周圍壓力,及移出基板(步驟615)。可額外進行蝕刻及沉積處理,以於基板上製造3D記憶裝置。
應理解本發明系統已參照特定實施例說明,然在不脫離本發明系統範圍內,當可增添、刪除及更改該等實施例。雖然所述系統包括各種部件,但應理解該等部件和所述構造當可加以修改及按不同的其他構造重新排列。
100...基板
101...層堆疊結構
103、105...材料層
201...處理腔室
211...基座
213...歧管
215...處理氣源
217...電極
219...功率源
221...加熱器
222...淨化氣源
225...電漿
291、293...材料層
295、297...應力
301、303、305、307、309、311、313、315、401、403、405、407、409、411、415、501、503、505、506、507、509、511、512、515、601、603、605、606、607、609、611、613、615、801、803、805、807、809、811、813、815、817、819...步驟
第1圖圖示沉積於基板上的層堆疊結構;
第2圖圖示PECVD處理腔室;
第3圖圖示沉積於基板上具拉伸應力的層截面;
第4圖圖示沉積於基板上具壓縮應力的層截面;
第5圖圖示層堆疊結構的截面,層堆疊結構具有交替的拉伸應力與壓縮應力層;
第6圖圖示層堆疊結構的上視圖,層堆疊結構具有交替的拉伸應力與壓縮應力層;
第7圖圖示用以沉積氧化矽/氮化矽層堆疊結構至基板上的製程步驟流程圖;
第8圖圖示用以沉積氧化矽/矽層堆疊結構至基板上的製程步驟流程圖;
第9圖圖示用以沉積矽/摻雜矽層堆疊結構至基板上的製程步驟流程圖;
第10圖圖示用以沉積矽/摻雜矽層堆疊結構至基板上的製程步驟流程圖;
第11圖圖示用以沉積矽/氮化矽層堆疊結構至基板上的製程步驟流程圖;以及
第12圖圖示用以沉積第一材料/第二材料層堆疊結構至基板上的製程步驟流程圖。
100...基板
103、105...材料層

Claims (20)

  1. 一種用於沉積一材料層堆疊的方法,包含:(a)將一基板放到一電漿增強化學氣相沈積(PECVD)腔室;(b)激發一第一處理氣體而形成一第一電漿;(c)從該第一電漿沉積一層一第一材料至該基板上,該層該第一材料在一第一方向具有一第一應力;(d)電漿淨化該PECVD腔室,及利用該電漿淨化,露出待調理供沉積用的該第一材料的一表面;(e)氣體淨化該PECVD腔室,以移除所有氣體污染物;(f)激發一第二處理氣體而形成一第二電漿;(g)從該第二電漿沉積一層一第二材料至該基板上,該層該第二材料在一第二方向具有一第二應力,該第二方向相反於該第一方向;(h)電漿淨化該PECVD腔室,及利用該電漿淨化,露出待調理供沉積用的該第二材料的一表面;(i)氣體淨化該PECVD腔室,以移除氣體污染物;(j)在該等步驟(b)至(i)的整個過程,維持該PECVD腔室中的一真空;以及(k)反覆進行該等步驟(b)至(j),直到該基板上已沉積一預定層數的該第一材料和該第二材料,及該基板之一上表面是平坦的。
  2. 如請求項1之方法,其中該第一材料的該預定層數為8或更多,該第二材料的該預定層數為8或更多。
  3. 如請求項1之方法,其中該PECVD腔室的該氣體淨化包括使一部分的該PECVD腔室接觸一淨化氣體,該淨化氣體選自由氨氣(NH3 )、氮氣(N2 )、一氧化二氮(N2 O)和上述氣體的混合物所組成群組的一氣體。
  4. 如請求項1之方法,其中該第一材料係矽,該第一處理氣體包括一含矽分子。
  5. 如請求項1之方法,其中該第一材料係氧化矽,該第一處理氣體包括一含矽分子和一含氧分子。
  6. 如請求項1之方法,其中該第一材料係氮化矽,該第一處理氣體包括一含矽分子和一含氮分子。
  7. 如請求項6之方法,進一步包含:激發一第三處理氣體而形成一第三電漿,以於該步驟(c)與該步驟(d)之間進行該氮化矽的表面處理。
  8. 如請求項7之方法,其中該第三處理氣體包括氨氣(NH3 )和氮氣(N2 )。
  9. 一種用於沉積一材料層堆疊的方法,包含:(a)在一真空下,將一基板放到一電漿增強化學氣相沈積(PECVD)腔室;(b)激發一第一處理氣體而形成一第一電漿;(c)從該第一電漿沉積一層一第一材料至該基板上,該第一材料在一第一方向具有一第一應力;(d)電漿淨化以清潔該PECVD腔室,及露出該第一材料的一表面以調理供沉積用;(e)氣體淨化該PECVD腔室,以移除氣體污染物;(f)激發一第二處理氣體而形成一第二電漿;(g)沉積一層一第二材料至該基板上,該第二材料在一第二方向具有一第二應力,該第二應力的強度大約等於該第一材料在該第一方向的該第一應力,且該第二應力的方向與該第一材料的該第一應力相反;(h)電漿淨化該PECVD腔室,及露出待調理供沉積用的該第二材料的一表面;(i)氣體淨化該PECVD腔室,以移除氣體污染物;(j)在該等步驟(b)至(i)的整個過程,維持該PECVD腔室中的一真空;以及(k)反覆進行該等步驟(b)至(j),直到該基板上已沉積一預定層數的該第一材料和該第二材料,及該基板之一上表面是平坦的。
  10. 如請求項9之方法,其中該第一材料的該預定層數 為8或更多,該第二材料的該預定層數為8或更多。
  11. 如請求項9之方法,其中該PECVD腔室的該氣體淨化包括使一部分的該PECVD腔室接觸一淨化氣體,該淨化氣體選自由氨氣(NH3 )、氮氣(N2 )、一氧化二氮(N2 O)和上述氣體的混合物所組成群組的一氣體。
  12. 如請求項9之方法,其中該第一材料係矽,該第一處理氣體包括一含矽分子。
  13. 如請求項9之方法,其中該第一材料係氧化矽,該第一處理氣體包括一含矽分子和一含氧分子。
  14. 如請求項9之方法,其中該第一材料係氮化矽,該第一處理氣體包括一含矽分子和一含氮分子。
  15. 如請求項14之方法,進一步包含:激發一第三處理氣體而形成一第三電漿,以於該步驟(c)與該步驟(d)之間進行該氮化矽的表面處理。
  16. 如請求項15之方法,其中該第三處理氣體包括氨氣(NH3 )和氮氣(N2 )。
  17. 一種用於沉積一材料層堆疊的方法,包含: (a)在一真空下,將一基板放到一電漿增強化學氣相沈積(PECVD)腔室;(b)激發一第一處理氣體而形成一第一電漿;(c)從該第一電漿沉積一層一第一材料至該基板上;(d)將一摻質前驅物加到該第一處理氣體中,而不以一電漿淨化或一氣體淨化來淨化該PECVD腔室;(e)激發該第一處理氣體與該摻質前驅物而形成一第二電漿;(f)從該第二電漿沉積一層一摻雜矽至該基板上;(g)在該等步驟(b)至(f)的整個過程,維持該PECVD腔室中的一真空;以及(h)反覆進行該等步驟(b)至(g),直到該基板上已沉積一預定層數的該第一材料和該第二材料。
  18. 如請求項17之方法,其中該第一材料的該預定層數為8或更多,該第二材料的該預定層數為8或更多。
  19. 如請求項17之方法,其中該第二材料係n型摻雜矽,該摻質前驅物包括一含硼分子。
  20. 如請求項17之方法,其中該第二材料係p型摻雜矽,該摻質前驅物包括一含磷分子。
TW100135318A 2010-10-06 2011-09-29 用於3d記憶體應用的pecvd氧化物-氮化物及氧化物-矽堆疊 TWI498943B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/899,401 US8076250B1 (en) 2010-10-06 2010-10-06 PECVD oxide-nitride and oxide-silicon stacks for 3D memory application

Publications (2)

Publication Number Publication Date
TW201222638A TW201222638A (en) 2012-06-01
TWI498943B true TWI498943B (zh) 2015-09-01

Family

ID=45092654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100135318A TWI498943B (zh) 2010-10-06 2011-09-29 用於3d記憶體應用的pecvd氧化物-氮化物及氧化物-矽堆疊

Country Status (6)

Country Link
US (1) US8076250B1 (zh)
JP (1) JP5808814B2 (zh)
KR (1) KR101944393B1 (zh)
CN (1) CN103109352B (zh)
TW (1) TWI498943B (zh)
WO (1) WO2012047697A2 (zh)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9373675B2 (en) * 2012-02-06 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor structure and method of forming the same
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN102856174B (zh) * 2012-09-19 2014-12-31 英利能源(中国)有限公司 氮化硅的膜制备方法、具有氮化硅膜的太阳能电池片及其制备方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140117511A1 (en) 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2016539514A (ja) * 2013-11-04 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化物−ケイ素スタックのための付着性の改善
WO2015112327A1 (en) 2014-01-21 2015-07-30 Applied Materials, Inc. Dielectric-metal stack for 3d flash memory application
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201410317D0 (en) * 2014-06-10 2014-07-23 Spts Technologies Ltd Substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7211969B2 (ja) * 2017-04-27 2023-01-24 アプライド マテリアルズ インコーポレイテッド 3d nandに適用するための低誘電率酸化物および低抵抗のopスタック
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216154A (zh) * 2017-07-03 2019-01-15 上海新昇半导体科技有限公司 一种半导体器件及其制造方法、电子装置
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP7007407B2 (ja) * 2017-07-06 2022-02-10 アプライド マテリアルズ インコーポレイテッド 複数の堆積した半導体層のスタックを形成する方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN108376652B (zh) * 2018-03-05 2019-08-30 长江存储科技有限责任公司 晶圆键合方法、晶圆键合结构及调整晶圆变形量的方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
CN108425103A (zh) * 2018-03-30 2018-08-21 德淮半导体有限公司 炉管设备及其沉积方法
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10515905B1 (en) * 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
SG11202010548YA (en) 2018-07-31 2021-02-25 Applied Materials Inc On stack overlay improvement for 3d nand
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
CN113056807B (zh) 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP2020191427A (ja) * 2019-05-23 2020-11-26 東京エレクトロン株式会社 ハードマスク、基板処理方法及び基板処理装置
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11410937B2 (en) * 2020-03-06 2022-08-09 Raytheon Company Semiconductor device with aluminum nitride anti-deflection layer
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI809790B (zh) * 2022-03-29 2023-07-21 南亞科技股份有限公司 半導體設備的操作方法
GB202213794D0 (en) * 2022-09-21 2022-11-02 Spts Technologies Ltd Deposition of thick layers of silicon dioxide

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274496B1 (en) * 1999-04-20 2001-08-14 Tokyo Electron Limited Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
US6338874B1 (en) * 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US20060211224A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2737111B2 (ja) * 1987-03-27 1998-04-08 キヤノン株式会社 光起電力素子及びその製造方法
JPS6447032A (en) * 1987-08-18 1989-02-21 Oki Electric Ind Co Ltd Formation of surface protective film for semiconductor device
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP3332467B2 (ja) * 1993-04-06 2002-10-07 三洋電機株式会社 多結晶半導体の製造方法
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
AU2002357640A1 (en) * 2001-07-24 2003-04-22 Cree, Inc. Insulting gate algan/gan hemt
JP2004006537A (ja) * 2002-05-31 2004-01-08 Ishikawajima Harima Heavy Ind Co Ltd 薄膜形成方法及び装置並びに太陽電池の製造方法並びに太陽電池
US6849509B2 (en) 2002-12-09 2005-02-01 Intel Corporation Methods of forming a multilayer stack alloy for work function engineering
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7670963B2 (en) 2007-05-25 2010-03-02 Cypress Semiconductor Corportion Single-wafer process for fabricating a nonvolatile charge trap memory device
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100561682C (zh) * 2007-12-14 2009-11-18 电子科技大学 一种改变薄膜力学及光学性能的方法
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US7825479B2 (en) * 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6338874B1 (en) * 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US6274496B1 (en) * 1999-04-20 2001-08-14 Tokyo Electron Limited Method for single chamber processing of PECVD-Ti and CVD-TiN films for integrated contact/barrier applications in IC manufacturing
US20060211224A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber

Also Published As

Publication number Publication date
WO2012047697A2 (en) 2012-04-12
US8076250B1 (en) 2011-12-13
TW201222638A (en) 2012-06-01
CN103109352A (zh) 2013-05-15
WO2012047697A3 (en) 2012-06-28
KR20130129930A (ko) 2013-11-29
JP5808814B2 (ja) 2015-11-10
CN103109352B (zh) 2016-05-04
KR101944393B1 (ko) 2019-01-31
JP2013546169A (ja) 2013-12-26

Similar Documents

Publication Publication Date Title
TWI498943B (zh) 用於3d記憶體應用的pecvd氧化物-氮化物及氧化物-矽堆疊
KR102330184B1 (ko) 평탄한 실리콘막들의 pecvd 성막
KR102045674B1 (ko) 필름 스택의 인-시츄 증착
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
CN101690420B (zh) 氮化硼和氮化硼导出材料的沉积方法
TWI326113B (en) A method of forming a silicon oxynitride film with tensile stress
TWI496232B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
US20160260602A1 (en) Adhesion improvements for oxide-silicon stack
US7923376B1 (en) Method of reducing defects in PECVD TEOS films
CN101631894A (zh) 原子层沉积的技术
KR20160131943A (ko) 박막들의 잔여 응력을 조절하는 방법들
TW201350612A (zh) 利用微波電漿化學氣相沈積在基板上沈積膜的方法
KR20080056287A (ko) 도프된 실리콘 질화물 필름의 저온 증착 방법 및 장치
JP2003273033A (ja) プラズマ反応装置
TW201128687A (en) Method and installation for producing an anti-reflection and/or passivation coating for semiconductor devices